The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for simultaneous with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1962 (17) 1963-1966 (15) 1967-1969 (17) 1970-1973 (16) 1974-1976 (15) 1977-1978 (15) 1979-1980 (16) 1981-1982 (20) 1983-1984 (17) 1985 (21) 1986 (25) 1987 (27) 1988 (35) 1989 (43) 1990 (60) 1991 (47) 1992 (45) 1993 (62) 1994 (86) 1995 (111) 1996 (101) 1997 (134) 1998 (144) 1999 (203) 2000 (223) 2001 (293) 2002 (330) 2003 (412) 2004 (557) 2005 (585) 2006 (791) 2007 (839) 2008 (893) 2009 (647) 2010 (400) 2011 (440) 2012 (397) 2013 (522) 2014 (517) 2015 (597) 2016 (688) 2017 (654) 2018 (716) 2019 (770) 2020 (834) 2021 (836) 2022 (801) 2023 (827) 2024 (192)
Publication types (Num. hits)
article(7476) book(7) data(5) incollection(68) inproceedings(8393) phdthesis(104)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4716 occurrences of 2848 keywords

Results
Found 16053 publication records. Showing 16053 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Oded Goldreich 0001, Vered Rosen On the Security of Modular Exponentiation with Application to the Construction of Pseudorandom Generators. Search on Bibsonomy J. Cryptol. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Hard-core predicates, Simultaneous security, Factoring assumption, Discrete logarithm, Pseudorandom generator, Modular exponentiation
18Michael P. Wellman, Jeffrey K. MacKie-Mason, Daniel M. Reeves, Sowmya Swaminathan Exploring bidding strategies for market-based scheduling. Search on Bibsonomy EC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF market-based scheduling, simultaneous ascending auctions, Nash equilibrium, evolutionary game theory, bidding strategies, evolutionary search, replicator dynamics
18David Nguyen, Abhijit Davare, Michael Orshansky, David G. Chinnery, Brandon Thompson, Kurt Keutzer Minimization of dynamic and static power through joint assignment of threshold voltages and sizing optimization. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF dual threshold, sizing, dual supply voltage, simultaneous
18Arindam Mukherjee 0001, Krishna Reddy Dusety, Rajsaktish Sankaranarayan A practical CAD technique for reducing power/ground noise in DSM circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF power/ground noise, low power, linear programming, timing analysis, gate sizing, simultaneous switching noise
18Andrew Chi-Chih Yao On the power of quantum fingerprinting. Search on Bibsonomy STOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF public coin, quantum protocol, simultaneous message model, computational complexity, communication complexity
18Ioannis A. Vetsikas, Bart Selman A principled study of the design tradeoffs for autonomous trading agents. Search on Bibsonomy AAMAS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF bidding strategies, electronic marketplaces, bidding agents, simultaneous auctions, agent-mediated electronic commerce
18Joan-Manuel Parcerisa, Antonio González 0001 Improving Latency Tolerance of Multithreading through Decoupling. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Access/execute decoupling, instruction-level parallelism, simultaneous multithreading, latency hiding, hardware complexity
18Peter Pirsch, Carsten Reuter, Jens Peter Wittenburg, Mark Bernd Kulaczewski, Hans-Joachim Stolberg Architecture Concepts for Multimedia Signal Processing. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF associative controlling, multimedia, VLSI, reconfigurable computing, MPEG-4, simultaneous multithreading
18James Burns, Jean-Luc Gaudiot Quantifying the SMT Layout Overhead-Does SMT Pull Its Weight? Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Simultaneous Multi-Threading (SMT)
18Stephen W. Ryan, Arvind K. Bansal, T. Kapoor A distributed multimedia knowledge based environment for modeling over the Internet. Search on Bibsonomy ICTAI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF distributed multimedia knowledge based environment, complex object design, knowledge-based scalable multimedia environment, directed hierarchical graph, reusable component retrieval, reusable component archiving, multiple simultaneous distributed numeric simulations, heterogeneous associative logic programming, user transparent distributed object-based computing, architecture independent graphical user interface, aircraft engines, Java, Java, Internet, Internet, XML, World Wide Web, logic programming, CORBA, heterogeneity, digital simulation, numerical analysis, multimedia computing, information resources, distributed object management, aerospace computing, graph based modeling, hypermedia markup languages, intelligent design assistants, aerospace engines
18Shu-Ching Chen, Mei-Ling Shyu, Chengcui Zhang, Rangasami L. Kashyap Object tracking and multimedia augmented transition network for video indexing and modeling. Search on Bibsonomy ICTAI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF multimedia augmented transition network, multimedia input strings, video data modelling, video data structuring, semantic objects, unsupervised video segmentation method, SPCPE algorithm, simultaneous partitioning, class parameter estimation, overlapped objects, backtrack-chain-update-split algorithm, split segment identification, video frame updating, image segmentation, artificial intelligence, tracking, parameter estimation, multimedia databases, object tracking, video indexing, video databases, video signal processing, backtracking, spatial relations, temporal relations, multimedia database systems, multimedia browsing, segmentation method, database indexing, input modelling
18Eric Rotenberg AR-SMT: A Microarchitectural Approach to Fault Tolerance in Microprocessors. Search on Bibsonomy FTCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF branch prediction and value prediction, trace processors, transient faults, simultaneous multithreading, time redundancy
18Jesse Bennett, Alireza Khotanzad Multispectral Random Field Models for Synthesis and Analysis of Color Images. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Color texture models, color texture synthesis, color texture analysis, multispectral random fields, multispectral simultaneous autoregressive models, multispectral Markov random field models, multispectral pseudo-Markov random field models, least squares estimation
18Jesse Bennett, Alireza Khotanzad A Maximum Likelihood Estimation Method for Multispectral Autoregressive Image Models. Search on Bibsonomy ICIP (2) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF multispectral autoregressive image models, random field image models, multispectral simultaneous autoregressive model, natural texture samples, image segmentation, experiments, parameter estimation, maximum likelihood estimation, maximum likelihood estimation, color images, Gaussian distribution
18Justus Klingemann, Thomas Tesch, Jürgen Wäsch Enabling Cooperation among Disconnected Mobile Users. Search on Bibsonomy CoopIS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF disconnected mobile users, workgroup computing, temporary disconnection, COACT cooperative transaction model, cooperative activity model, parallel disconnected activities, resolvable simultaneous work, merge algorithm, conflict reduction, consistent operation sequences, mobile computing, groupware, operational semantics, data sharing, cooperative work, mobile environments, disconnected operation, limited bandwidth
18Shigeki Shibayama, Kazumasa Hamaguchi, Toshiyuki Fukui, Yoshiaki Sudo, Tomohiko Shimoyama, Shuichi Nakamura An Optical Bus Computer Cluster with a deferred cache coherence protocol. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Optical Bus Computer Cluster, deferred cache coherence protocol, optical star-coupler, one-hop simultaneous broadcasting, wavelength multiplexing, deferred cache coherence, coherence maintenance, protocols, wavelength-division multiplexing, optical interconnections, cache storage
18Shinji Watanabe 0002, Yujiro Akimoto, Takashi Komatsu, Takahiro Saito A new stabilized zero-crossing representation in the wavelet transform domain and signal reconstruction. Search on Bibsonomy ICIP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF stabilized zero-crossing representation, wavelet transform domain, local features extraction, minimum-norm optimization problem, linear simultaneous equation, fractional sampling interval accuracy, iterative reconstruction algorithm, feature extraction, wavelet transforms, image reconstruction, image reconstruction, iterative methods, image representation, minimisation, image sampling, numerical stability, picture quality, signal reconstruction
18Sukumar Nandi, Parimal Pal Chaudhuri Theory and applications of cellular automata for synthesis of easily testable combinational logic. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF testable combinational logic, combinational logic blocks, test machine, data path synthesis phase, autonomous mode, aliasing error probability, associated lines, test application overheads, test parallelism, simultaneous testing, multiple combinational modules, graph theory, fault diagnosis, logic testing, high level synthesis, test generation, cellular automata, cellular automata, design for testability, combinational circuits, logic CAD, stuck-at faults, shift registers, cost effectiveness, registers, test vectors, test responses, state transition graph
18Janusz Rzeszut, Bozena Kaminska, Yvon Savaria A new method for testing mixed analog and digital circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF charge-coupled device circuits, mixed analog and digital circuits, analog test points, simultaneous observation, analog multiplexer, signal path, analog shift register, input voltage, integrated circuit testing, shift registers, mixed analogue-digital integrated circuits, charge coupled device, analogue processing circuits
18Kai-Yuan Chao, D. F. Wong 0001 Signal integrity optimization on the pad assignment for high-speed VLSI design. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF pad assignment, simultaneous swiching Noise, floorplanning, crosstalk, signal integrity, packaging
18Keying Wu, P. K. H. Ng, Xing Dong Jia, Richard M. M. Chen, A. M. Layfield Performance tuning of a multiprocessor sparse matrix equation solver. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF multiprocessor sparse matrix equation solver, sparse matrix equation, linear simultaneous equations, electrical circuit, multiprocessor implementation, parallel direct method, parallel algorithms, circuit analysis computing, SPICE, SPICE, circuit simulation, sparse matrices, performance tuning
18Ireneusz Karkowski Architectural synthesis with possibilistic programming. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF possibilistic programming, fuzzy mathematical programming, simultaneous scheduling, FOAS, computational complexity, computational complexity, fuzzy logic, high level synthesis, high-level synthesis, circuit CAD, mathematical programming, possibility theory
18Jit Biswas, James C. Browne Data Structures for Parallel Resource Management. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF parallel resource management, system state, weakened specifications, multiple processes, concurrent heap, software banyan, simultaneous update, parallel algorithms, parallel algorithms, parallel programming, data structures, data structures, resource allocation, resource allocation, operating systems, priority queue, processor architectures
18Michael Wolfe, Chau-Wen Tseng The Power Test for Data Dependence. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF variable elimination, power test, data dependence decision algorithm, extended GCDalgorithm, Fourier-Motzkin method, simultaneous loop limits, interactive program restructuring environment, parallel algorithms, parallel programming, compiler, program compilers, programming theory, inequalities
18Bev Littlewood, Douglas R. Miller Conceptual Modeling of Coincident Failures in Multiversion Software. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF coincident failures, multiversion software, independently developed program versions, precise duality, input choice, program choice, diverse methodologies, simultaneous failure, independent failure behavior, methodological diversity, decision outcomes, fault tolerant computing, constraints, probability, conceptual modeling, software reliability, decision theory, optimal method
18Haim Gaifman Modeling concurrency by partial orders and nonlinear transition systems. Search on Bibsonomy REX Workshop The full citation details ... 1988 DBLP  DOI  BibTeX  RDF pomset, causal precedence, concurrent, computation, process, event, deadlock, partial order, action, state, transition system, automaton, shared resource, dining philosophers, simultaneous
16Nikolay Archak Money, glory and cheap talk: analyzing strategic behavior of contestants in simultaneous crowdsourcing contests on TopCoder.com. Search on Bibsonomy WWW The full citation details ... 2010 DBLP  DOI  BibTeX  RDF all-pay auction, cheap talk, entry deterrence, reputation, electronic markets, crowdsourcing, contest
16Lijuan Luo, Tan Yan, Qiang Ma 0002, Martin D. F. Wong, Toshiyuki Shibuya B-escape: a simultaneous escape routing algorithm based on boundary routing. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF PCB routing, dense circuit boards, computer-aided design, escape routing
16Timo Kunkel, Erik Reinhard A reassessment of the simultaneous dynamic range of the human visual system. Search on Bibsonomy APGV The full citation details ... 2010 DBLP  DOI  BibTeX  RDF high dynamic range imaging, human vision, dynamic range
16K. N. Krishnanand, Debasish Ghose Glowworm swarm optimization for simultaneous capture of multiple local optima of multimodal functions. Search on Bibsonomy Swarm Intell. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Glowworm swarm optimization, Multiple signal source localization, Particle swarm optimization, Ant colony optimization, Multimodal function optimization
16Hao Yu 0001, Joanna Ho, Lei He 0001 Allocating power ground vias in 3D ICs for simultaneous power and thermal integrity. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Thermal and power integrity, parametric 3D-IC design, macromodeling
16Fulvio Babich, Massimiliano Comisso, Lucio Mania Sustainable simultaneous communications in ad-hoc networks using smart antenna systems. Search on Bibsonomy Wirel. Networks The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Angular spread, Spatial channel model, Ad-hoc network, Medium access control, Multipath, Smart antenna
16Jérôme Waldispühl, Charles W. O'Donnell, Sebastian Will, Srinivas Devadas, Rolf Backofen, Bonnie Berger Simultaneous Alignment and Folding of Protein Sequences. Search on Bibsonomy RECOMB The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Peter A. Moldovyanu, E. Dernova, A. Kostina, Nick A. Moldovyan Multisignature Protocols and Problem of Simultaneous Signing a Package of Contracts. Search on Bibsonomy IF&GIS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Collective digital signature, multisignature schemes, Digital signature, Public key, Finite group, Discrete logarithm problem
16Qi Song, Xiaodong Wu 0001, Yunlong Liu, Mark Smith 0005, John M. Buatti, Milan Sonka Optimal Graph Search Segmentation Using Arc-Weighted Graph for Simultaneous Surface Detection of Bladder and Prostate. Search on Bibsonomy MICCAI (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Anand A. Joshi, Richard M. Leahy, Arthur W. Toga, David W. Shattuck A Framework for Brain Registration via Simultaneous Surface and Volume Flow. Search on Bibsonomy IPMI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Siddhartha Kumar Khaitan, Yuan Li, Chen-Ching Liu Optimization of ancillary services for system security: Sequential vs. simultaneous LMP calculation. Search on Bibsonomy EIT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Kristen Parton, Kathleen R. McKeown, James Allan, Enrique Henestroza Simultaneous multilingual search for translingual information retrieval. Search on Bibsonomy CIKM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cross-lingual IR, document translation, query translation
16Shu Yun Chung, Han-Pang Huang Simultaneous topological map prediction and moving object trajectory prediction in unknown environments. Search on Bibsonomy IROS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Jong Chul Ye, Su Yeon Lee, Yoram Bresler Exact reconstruction formula for diffuse optical tomography using simultaneous sparse representation. Search on Bibsonomy ISBI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Jason Cong, Junjuan Xu Simultaneous FU and Register Binding Based on Network Flow Method. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Andrew Tan, Binh Pham 0001, Jinglan Zhang, Ross Brown 0001 A collaborative framework for simultaneous and seamless 3D graphics manipulation. Search on Bibsonomy MoMM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF collaboration, mobile, framework, manipulation, 3D graphics
16Markus Chimani, Michael Jünger, Michael Schulz 0001 Crossing Minimization meets Simultaneous Drawing. Search on Bibsonomy PacificVis The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Yifang Liu, Rupesh S. Shelar, Jiang Hu Delay-optimal simultaneous technology mapping and placement with applications to timing optimization. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Ye Xing, Zhong Xue, Sarah Englander, Mitchell D. Schnall, Dinggang Shen Improving Parenchyma Segmentation by Simultaneous Estimation of Tissue Property T1 Map and Group-Wise Registration of Inversion Recovery MR Breast Images. Search on Bibsonomy MICCAI (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Amitava Chatterjee, Fumitoshi Matsuno A Neuro-Fuzzy Assisted Extended Kalman Filter-Based Approach for Simultaneous Localization and Mapping (SLAM) Problems. Search on Bibsonomy IEEE Trans. Fuzzy Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Tetsuya Hoya, Yoshikazu Washizawa Simultaneous Pattern Classification and Multidomain Association Using Self-Structuring Kernel Memory Networks. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Ari Abramson, Israel Cohen Simultaneous Detection and Estimation Approach for Speech Enhancement. Search on Bibsonomy IEEE Trans. Speech Audio Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Toru Tsuchiya, Atsushi Yamashita, Toru Kaneko, Yasuhiro Kaneko, Hirokatsu Muramatsu Scheduling optimization of component mounting in printed circuit board assembly by prioritizing simultaneous pickup. Search on Bibsonomy IROS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Pavol Malinak, Rudolf Jaksa Simultaneous gradient and evolutionary neural network weights adaptation methods. Search on Bibsonomy IEEE Congress on Evolutionary Computation The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Yoshiki Higo, Yasushi Ueda, Shinji Kusumoto, Katsuro Inoue Simultaneous Modification Support based on Code Clone Analysis. Search on Bibsonomy APSEC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Jeoung-Nae Choi, Sung-Kwun Oh, Kisung Seo Simultaneous Optimization of ANFIS-Based Fuzzy Model Driven to Data Granulation and Parallel Genetic Algorithms. Search on Bibsonomy ISNN (3) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Alejandro Estrella-Balderrama, Elisabeth Gassner, Michael Jünger, Merijam Percan, Marcus Schaefer 0001, Michael Schulz 0001 Simultaneous Geometric Graph Embeddings. Search on Bibsonomy GD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Manoj Gupta 0001, Fermín Sánchez, Josep Llosa Cluster-level simultaneous multithreading for VLIW processors. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Chittarsu Raghunandan, K. S. Sainarayanan, M. B. Srinivas Area Efficient Bus Encoding Technique for Minimizing Simultaneous Switching Noise (SSN). Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Bing Ma, Ramkrishnan Narayanan, Hyunjin Park, Alfred O. Hero III, Peyton H. Bland, Charles R. Meyer Comparing Pairwise and Simultaneous Joint Registrations of Decorrelating Interval Exams Using Entropic Graphs. Search on Bibsonomy IPMI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Yutaka Maeda, Naoto Matsushita Simultaneous Perturbation Particle Swarm Optimization Using FPGA. Search on Bibsonomy IJCNN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Qi Xia, Michael Yu Wang Level set based method for simultaneous optimization of material property and topology of functionally graded structures. Search on Bibsonomy Symposium on Solid and Physical Modeling The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dynamic implicit boundary, functionally graded materials, level set method, topology optimization, heterogeneous objects
16Narender Hanchate, Nagarajan Ranganathan Simultaneous Interconnect Delay and Crosstalk Noise Optimization through Gate Sizing Using Game Theory. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Game theory, gate sizing, transmission lines, crosstalk noise, interconnect models, interconnect delay
16Daniele Paolo Scarpazza, Praveen Raghavan, David Novo, Francky Catthoor, Diederik Verkest Software Simultaneous Multi-Threading, a Technique to Exploit Task-Level Parallelism to Improve Instruction- and Data-Level Parallelism. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Venkatesan Packirisamy, Shengyue Wang, Antonia Zhai, Wei-Chung Hsu, Pen-Chung Yew Supporting Speculative Multithreading on Simultaneous Multithreaded Processors. Search on Bibsonomy HiPC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Hao Yu 0001, Joanna Ho, Lei He 0001 Simultaneous power and thermal integrity driven via stapling in 3D ICs. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF structured and parameterized model order reduction, thermal modeling and management
16Jonathan Lok-Chuen Lo, Michael Brady 0001, Niall Moore Simultaneous Multiple Image Registration Method for T1 Estimation in Breast MRI Images. Search on Bibsonomy MICCAI (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Frank Kammer Simultaneous Embedding with Two Bends per Edge in Polynomial Area. Search on Bibsonomy SWAT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Jenq-Lang Wu, Tsu-Tian Lee Optimal static output feedback simultaneous regional pole placement. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Part B The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Hung-Ming Chen, Li-Da Huang, I-Min Liu, Martin D. F. Wong Simultaneous power supply planning and noise avoidance in floorplan design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Ruiming Li, Dian Zhou, Jin Liu, Xuan Zeng 0001 Power-optimal simultaneous buffer insertion/sizing and wire sizing for two-pin nets. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Runnian Ma, Shengrui Zhang, Sheping Lei Stability Conditions for Discrete Neural Networks in Partial Simultaneous Updating Mode. Search on Bibsonomy ISNN (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Runhua Shi, Jiaxing Cheng Two New Fast Methods for Simultaneous Scalar Multiplication in Elliptic Curve Cryptosystems. Search on Bibsonomy ICCNMC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Zurab Khasidashvili, Alexander Nadel, Amit Palti, Ziyad Hanna Simultaneous SAT-Based Model Checking of Safety Properties. Search on Bibsonomy Haifa Verification Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Mitsuji Muneyasu, Osamu Hisayasu, Kensaku Fujii, Takao Hinamoto An active noise control system based on simultaneous equations method without auxiliary filters. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Mun-Kyu Lee SPA-Resistant Simultaneous Scalar Multiplication. Search on Bibsonomy ICCSA (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Lin Xiao 0003, Mikael Johansson, Stephen P. Boyd Simultaneous routing and resource allocation via dual decomposition. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Shahram Rezaei, José E. Guivant, Juan I. Nieto 0001, Eduardo Mario Nebot Simultaneous Information and Global Motion Analysis ("SIGMA") for Car-like Robots. Search on Bibsonomy ICRA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Arindam Mukherjee 0001 On the Reduction of Simultaneous Switching in SoCs. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Ayose Falcón, Alex Ramírez, Mateo Valero A Low-Complexity, High-Performance Fetch Unit for Simultaneous Multithreading Processors. Search on Bibsonomy HPCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Cesim Erten, Stephen G. Kobourov Simultaneous Embedding of Planar Graphs with Few Bends. Search on Bibsonomy GD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Carlos Arthur Lang Lisbôa, Luigi Carro Arithmetic Operators Robust to Multiple Simultaneous Upsets. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Jacobus van Zyl, Ian Cloete Simultaneous Concept Learning of Fuzzy Rules. Search on Bibsonomy ECML The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Pasi Silander, Erkki Sutinen, Jorma Tarhio Mobile Collaborative Concept Mapping - Combining Classroom Activity with Simultaneous Field Exploration. Search on Bibsonomy WMTE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Li Ding 0002, Pinaki Mazumder Simultaneous switching noise analysis using application specific device modeling. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Marcelo Bertalmío, Luminita A. Vese, Guillermo Sapiro, Stanley J. Osher Simultaneous structure and texture image inpainting. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Kai-Feng Wang, Zhenzhou Ji, Mingzeng Hu Simultaneous Multithreading Trace Processors. Search on Bibsonomy APPT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Marcelo Bertalmío, Luminita A. Vese, Guillermo Sapiro, Stanley J. Osher Simultaneous Structure and Texture Image Inpainting. Search on Bibsonomy CVPR (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF filling-in, bounded variation, texture, texture synthesis, structure, Inpainting, image decomposition
16Xavier de Luna, Marc G. Genton Simulation-based inference for simultaneous processes on regular lattices. Search on Bibsonomy Stat. Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF indirect inference, quadrant process, spatio-temporal process, Yule-Walker estimator, robust estimation
16Satoshi Nakamura 0001, Panikos Heracleous 3-D N-Best Search for Simultaneous Recognition of Distant-Talking Speech of Multiple Talkers. Search on Bibsonomy ICMI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Vijay Subramani, Rajkumar Kettimuthu, Srividya Srinivasan, P. Sadayappan Distributed Job Scheduling on Computational Grids Using Multiple Simultaneous Requests. Search on Bibsonomy HPDC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Andreas M. Kunz, Christian P. Spagno Simultaneous Projection and Picture Acquisition for a Distributed Collaborative Environment. Search on Bibsonomy VR The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16James D. Z. Ma, Lei He 0001 Simultaneous signal and power routing under K model. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF net ordering, on-chip inductance, shield insertion, interconnect estimation, interconnect design
16Jean-Pierre Seifert Using Fewer Qubits in Shor's Factorization Algorithm Via Simultaneous Diophantine Approximation. Search on Bibsonomy CT-RSA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Steven K. Reinhardt, Shubhendu S. Mukherjee Transient fault detection via simultaneous multithreading. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Allan Snavely, Dean M. Tullsen Symbiotic Jobscheduling for a Simultaneous Multithreading Processor. Search on Bibsonomy ASPLOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16B. Zhong, John MacIntyre, Y. He, John Tait High Order Neural Networks for Simultaneous Diagnosis of Multiple Faults in Rotating Machines. Search on Bibsonomy Neural Comput. Appl. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Chris C. N. Chu, Martin D. F. Wong A quadratic programming approach to simultaneous buffer insertion/sizing and wire sizing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Chung-Ping Chen, Chris C. N. Chu, Martin D. F. Wong Fast and exact simultaneous gate and wire sizing by Lagrangian relaxation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Véronique Cortier, Harald Ganzinger, Florent Jacquemard, Margus Veanes Decidable Fragments of Simultaneous Rigid Reachability. Search on Bibsonomy ICALP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Sang Won Song, Mohammed Ismail 0001, Gyu Moon, Dong Yong Kim Accurate modeling of simultaneous switching noise in low voltage digital VLSI. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Robert S. Chappell, Jared Stark, Sangwook P. Kim, Steven K. Reinhardt, Yale N. Patt Simultaneous Subordinate Microthreading (SSMT). Search on Bibsonomy ISCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Shigeki Matsubara, Katsuhiko Toyama, Yasuyoshi Inagaki Sync/Trans: Simultaneous Machine Interpretation between English and Japanese. Search on Bibsonomy Australian Joint Conference on Artificial Intelligence The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Constantine Katsinis Distributed-Shared-Memory Support on the Simultaneous Optical Multiprocessor Exchange Bus. Search on Bibsonomy MASCOTS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 16053 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license