The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for speculative with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-1990 (15) 1991-1992 (23) 1993 (21) 1994 (25) 1995 (31) 1996 (20) 1997 (34) 1998 (44) 1999 (61) 2000 (56) 2001 (53) 2002 (72) 2003 (74) 2004 (85) 2005 (99) 2006 (79) 2007 (93) 2008 (76) 2009 (74) 2010 (66) 2011 (51) 2012 (55) 2013 (51) 2014 (47) 2015 (52) 2016 (48) 2017 (61) 2018 (66) 2019 (104) 2020 (84) 2021 (94) 2022 (91) 2023 (111) 2024 (30)
Publication types (Num. hits)
article(547) incollection(12) inproceedings(1433) phdthesis(54)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1204 occurrences of 608 keywords

Results
Found 2046 publication records. Showing 2046 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
30Li-Shiuan Peh, William J. Dally A Delay Model and Speculative Architecture for Pipelined Routers. Search on Bibsonomy HPCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Amir Roth, Gurindar S. Sohi Speculative Data-Driven Multithreading. Search on Bibsonomy HPCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Taisei Kaizoji Speculative Dynamics in a Heterogeneous-Agent Model. Search on Bibsonomy ICANN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Gurindar S. Sohi Amir Roth: Speculative Multithreaded Processors. Search on Bibsonomy HiPC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Haitham Akkary, Sébastien Hily The Case for Speculative Multithreading on SMT Processors. Search on Bibsonomy ISHPC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Christian Kurmann, Michael Müller, Felix Rauch, Thomas Stricker Speculative Defragmentation - A Technique to Improve the Communication Software Efficiency for Gigabit Ethernet. Search on Bibsonomy HPDC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Apostolos A. Kountouris, Christophe Wolinski Combining Speculative Execution and Conditional Resource Sharing to Efficiently Schedule Conditional Behaviors. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
30Bradley L. Noble, Roger D. Chamberlain Performance Model for Speculative Simulation using Predictive Optimism. Search on Bibsonomy HICSS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
30An-Chow Lai, Babak Falsafi Memory Sharing Predictor: The Key to a Speculative Coherent DSM. Search on Bibsonomy ISCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
30Chung-Kwong Yuen An abstract machine design for lexically scoped parallel Lisp with speculative processing. Search on Bibsonomy ACM SIGPLAN Notices The full citation details ... 1992 DBLP  DOI  BibTeX  RDF LISP
27Yuancheng Li, Yinliang Zhao, Yuanke Wei, Yanning Du A Cost Estimation Model for Speculative Thread Partitioning. Search on Bibsonomy ISPA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cost estimation model, Thread level parallelism, Speculative Multithreading
27Ramakrishna Kotla, Lorenzo Alvisi, Michael Dahlin, Allen Clement, Edmund L. Wong Zyzzyva: speculative byzantine fault tolerance. Search on Bibsonomy SOSP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF output commit, replication, speculative execution, byzantine fault tolerance
27Lixin Su, Mikko H. Lipasti Speculative optimization using hardware-monitored guarded regions for java virtual machines. Search on Bibsonomy VEE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF precise exceptions, speculative processors, java, virtual machines, transactional memory
27Arturo González-Escribano, Diego R. Llanos Ferraris Speculative Parallelization. Search on Bibsonomy Computer The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Speculative parallelization, How Things Work
27Yuu Tanaka, Toshinori Sato, Takenori Koushiro The potential in energy efficiency of a speculative chip-multiprocessor. Search on Bibsonomy SPAA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF dual pipeline, energy efficiency, speculative multithreading
27Venkata Krishnan, Josep Torrellas A Chip-Multiprocessor Architecture with Speculative Multithreading. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Chip-multiprocessor, speculative multithreading, data-dependence speculation, control speculation
27Lawrence Rauchwerger, David A. Padua The LRPD Test: Speculative Run-Time Parallelization of Loops with Privatization and Reduction Parallelization. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF DOALL, parallel processing, Compilers, reduction, speculative, run-time, privatization
27Pohua P. Chang, Nancy J. Warter, Scott A. Mahlke, William Y. Chen, Wen-mei W. Hwu Three Architecutral Models for Compiler-Controlled Speculative Execution. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF static code scheduling, superpipelining, exception handling, speculative execution, superscalar, Conditional branches, superblock
27Roger A. Bringmann, Scott A. Mahlke, Richard E. Hank, John C. Gyllenhaal, Wen-mei W. Hwu Speculative execution exception recovery using write-back suppression. Search on Bibsonomy MICRO The full citation details ... 1993 DBLP  DOI  BibTeX  RDF exception detection, exception recovery, scheduling, VLIW, speculative execution, superscalar
26Rutvik Choudhary, Alan Wang, Zirui Neil Zhao, Adam Morrison 0001, Christopher W. Fletcher DECLASSIFLOW: A Static Analysis for Modeling Non-Speculative Knowledge to Relax Speculative Execution Security Measures (Full Version). Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Rutvik Choudhary, Alan Wang, Zirui Neil Zhao, Adam Morrison 0001, Christopher W. Fletcher Declassiflow: A Static Analysis for Modeling Non-Speculative Knowledge to Relax Speculative Execution Security Measures. Search on Bibsonomy CCS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Heming Xia, Tao Ge 0001, Peiyi Wang, Si-Qing Chen, Furu Wei, Zhifang Sui Speculative Decoding: Exploiting Speculative Execution for Accelerating Seq2seq Generation. Search on Bibsonomy EMNLP (Findings) The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Logan Moody, Wei Qi, Abdolrasoul Sharifi, Layne Berry, Joey Rudek, Jayesh Gaur, Jeff Parkhurst, Sreenivas Subramoney, Kevin Skadron, Ashish Venkat Speculative Code Compaction: Eliminating Dead Code via Speculative Microcode Transformations. Search on Bibsonomy MICRO The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
26Rutvik Choudhary, Jiyong Yu, Christopher W. Fletcher, Adam Morrison 0001 Speculative Privacy Tracking (SPT): Leaking Information From Speculative Execution Without Compromising Privacy. Search on Bibsonomy MICRO The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
26Kirsten Bray, Christina N. Harrington Speculative Blackness: Considering Afrofuturism in the Creation of Inclusive Speculative Design Probes. Search on Bibsonomy Conference on Designing Interactive Systems The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
26Salwa Kobeissi Speculative Rewriting of Recursive Programs as Loop Candidates for Efficient Parallelization and Optimization Using an Inspector-Executor Mechanism. (Réécriture spéculative de programmes récursifs en boucles candidates à une parallélisation et une optimisation efficaces utilisant un mécanisme inspecteur-exécuteur). Search on Bibsonomy 2021   RDF
26Jiyong Yu, Namrata Mantri, Josep Torrellas, Adam Morrison 0001, Christopher W. Fletcher Speculative Data-Oblivious Execution: Mobilizing Safe Prediction For Safe and Efficient Speculative Execution. Search on Bibsonomy ISCA The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
26Laine Nooney, Tega Brain A 'speculative pasts' pedagogy: where speculative design meets historical thinking. Search on Bibsonomy Digit. Creativity The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
26Mark C. Jeffrey, Victor A. Ying, Suvinay Subramanian, Hyun Ryong Lee, Joel S. Emer, Daniel Sánchez 0003 Harmonizing Speculative and Non-Speculative Execution in Architectures for Ordered Parallelism. Search on Bibsonomy MICRO The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
26Juan Manuel Martinez Caamaño Fast and Flexible Compilation Techniques for Effective Speculative Polyhedral Parallelization. (Techniques de compilation rapides et flexibles pour une parallélisation polyédrique speculative efficace). Search on Bibsonomy 2016   RDF
26Aravind Sukumaran-Rajam Beyond the Realm of the Polyhedral Model: Combining Speculative Program Parallelization with Polyhedral Compilation. (Au delà des limites du modèle polyédrique: en combinant la parallélisation spéculative de programmes et la compilation polyédrique). Search on Bibsonomy 2015   RDF
26Alessandro Cilardo, Davide De Caro, Nicola Petra, Francesco Caserta, Nicola Mazzocca, Ettore Napoli, Antonio Giuseppe Maria Strollo High Speed Speculative Multipliers Based on Speculative Carry-Save Tree. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
26Meirong Li, Yinliang Zhao, You Tao Dynamically Spawning Speculative Threads to Improve Speculative Path Execution. Search on Bibsonomy ICA3PP (2) The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
26Michael E. Locasto, Stelios Sidiroglou, Angelos D. Keromytis Speculative virtual verification: policy-constrained speculative execution. Search on Bibsonomy NSPW The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Evan P. C. Jones, Daniel J. Abadi, Samuel Madden 0001 Low overhead concurrency control for partitioned main memory databases. Search on Bibsonomy SIGMOD Conference The full citation details ... 2010 DBLP  DOI  BibTeX  RDF distributed databases, concurrency control
25Heiko Sturzrehm, Pascal Felber, Christof Fetzer TM-Stream: An STM framework for distributed event stream processing. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
25Muhammad Umar Farooq 0003, Lizy Kurian John, Margarida F. Jacome Compiler Controlled Speculation for Power Aware ILP Extraction in Dataflow Architectures. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Tiled dataflow architectures, predication, power-performance trade-offs
25Liqun Cheng, John B. Carter Extending CC-NUMA systems to support write update optimizations. Search on Bibsonomy SC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Lin Gao 0002, Lian Li 0002, Jingling Xue, Tin-Fook Ngai Loop recreation for thread-level speculation. Search on Bibsonomy ICPADS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Emre Özer 0001, Thomas M. Conte High-Performance and Low-Cost Dual-Thread VLIW Processor Using Weld Architecture Paradigm. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Multithreaded processors, VLIW architectures, modeling of computer architecture
25Amit Gandhi, Haitham Akkary, Srikanth T. Srinivasan Reducing Branch Misprediction Penalty via Selective Branch Recovery. Search on Bibsonomy HPCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Haitham Akkary, Srikanth T. Srinivasan, Rajendar Koltur, Yogesh Patil, Wael Refaai Perceptron-Based Branch Confidence Estimation. Search on Bibsonomy HPCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25María Jesús Garzarán, Milos Prvulovic, Víctor Viñals, José María Llabería, Lawrence Rauchwerger, Josep Torrellas Using Software Logging to Support Multi-Version Buffering in Thread-Level Speculation. Search on Bibsonomy IEEE PACT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Noah Snavely, Saumya K. Debray, Gregory R. Andrews Unspeculation. Search on Bibsonomy ASE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Michael K. Chen, Kunle Olukotun The Jrpm System for Dynamically Parallelizing Java Programs. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Yiannakis Sazeides Modeling Value Speculation. Search on Bibsonomy HPCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF microarchitecture modeling, speculation, value prediction, value speculation
25Rafael A. Moreno, Luis Piñuel, Silvia Del Pino, Francisco Tirado A Power Perspective of Value Speculation for Superscalar Microprocessors. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Fred C. Chow, Robert Kennedy, Shin-Ming Liu, Raymond Lo, Peng Tu Register Promotion by Partial Redundancy Elimination of Loads and Stores. Search on Bibsonomy PLDI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
25José González 0002, Antonio González 0001 Memory Address Prediction for Data Speculation. Search on Bibsonomy Euro-Par The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
25Eliseu M. Chaves Filho, Edil S. T. Fernandes The Effect of the Speculation Depth on the Performance of Superscalar Architectures. Search on Bibsonomy Euro-Par The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
23Paulo Moura, Ricardo Rocha 0001, Sara C. Madeira High Level Thread-Based Competitive Or-Parallelism in Logtalk. Search on Bibsonomy PADL The full citation details ... 2009 DBLP  DOI  BibTeX  RDF speculative threading, implementation, Or-parallelism
23Carlos Madriles, Pedro López 0001, Josep M. Codina, Enric Gibert, Fernando Latorre, Alejandro Martínez, Raúl Martínez, Antonio González 0001 Boosting single-thread performance in multi-core systems through fine-grain multi-threading. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF core-fusion, single-thread performance, multicore, automatic parallelization, thread-level parallelism, speculative multithreading
23Evangelia Athanasaki, Nikos Anastopoulos, Kornilios Kourtis, Nectarios Koziris Exploring the performance limits of simultaneous multithreading for memory intensive applications. Search on Bibsonomy J. Supercomput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Speculative precomputation, Performance analysis, Instruction-level parallelism, Thread-level parallelism, Simultaneous multithreading, Software prefetching
23Brian R. Murphy, Vijay Menon 0002, Florian T. Schneider, Tatiana Shpeisman, Ali-Reza Adl-Tabatabai Fault-safe code motion for type-safe languages. Search on Bibsonomy CGO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF safe code motion, safety dependences, speculative code motion, scheduling, code motion, partial redundancy elimination, intermediate representations
23Aviad Heifetz, Martin Meier, Burkhard C. Schipper Unawareness, beliefs and games. Search on Bibsonomy TARK The full citation details ... 2007 DBLP  DOI  BibTeX  RDF common prior, speculative trade, type-space, awareness, incomplete information, equilibrium, agreement, unawareness, interactive epistemology, Bayesian games
23Ya-Yunn Su, Mona Attariyan, Jason Flinn AutoBash: improving configuration management with operating system causality analysis. Search on Bibsonomy SOSP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF configuration management, causality, speculative execution
23Chengyi Zhang, Hongwei Zhou, Minxuan Zhang, Zuocheng Xing An Architectural Leakage Power Reduction Method for Instruction Cache in Ultra Deep Submicron Microprocessors. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Periodically Drowsy Speculative Recover, Adaptive, Leakage Power, Drowsy cache
23Dongkeun Kim, Donald Yeung A study of source-level compiler algorithms for automatic construction of pre-execution code. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF pre-execution, prefetch conversion, speculative loop parallelization, multithreading, program slicing, Data prefetching, memory-level parallelism
23Rajagopalan Desikan, Simha Sethumadhavan, Doug Burger, Stephen W. Keckler Scalable selective re-execution for EDGE architectures. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF EDGE architectures, load-store dependence prediction, mis-speculation recovery, selective re-execution, selective replay, speculative dataflow machines
23Satoshi Matsushita Design Experience of a Chip Multiprocessor Merlot and Expectation to Functional Verification. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF deign experience, CMP, chip multiprocessor, functional verification, speculative multithreading
23Luiz C. V. dos Santos, Marc J. M. Heijligers, C. A. J. van Eijk, J. Van Eijnhoven, Jochen A. G. Jess A code-motion pruning technique for global scheduling. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF high-level synthesis, code generation, speculative execution, code motion, global scheduling
23Pedro Marcuello, Antonio González 0001 A Quantitative Assessment of Thread-Level Speculation Techniques. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Speculative Thread-level Parallelism, Thread Spawning Policies, Branch Prediction, Value prediction
23Christoph W. Keßler, Craig Smith The SPARAMAT Approach to Automatic Comprehension of Sparse Matrix Computations. Search on Bibsonomy IWPC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF concept recognition, program comprehension, speculative parallelization, sparse matrix computations
23Bohuslav Rychlik, John Faistl, Bryon Krug, John Paul Shen Efficacy and Performance Impact of Value Prediction. Search on Bibsonomy IEEE PACT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF usefulness tracking, instruction level parallelism, speculative execution, superscalar processor, value prediction, hybrid predictor
23Rastislav Bodík, Rajiv Gupta 0001, Mary Lou Soffa Complete Removal of Redundant Computations. Search on Bibsonomy PLDI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF control flow restructuring, demand-driven frequency data-flow analysis, speculative execution, partial redundancy elimination, profile-guided optimization
23Rastislav Bodík, Rajiv Gupta 0001, Mary Lou Soffa Complete removal of redundant expressions (with retrospective) Search on Bibsonomy Best of PLDI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF control flow restructuring, demand-driven frequency data-flow analysis, speculative execution, partial redundancy elimination, profile-guided optimization
23Kishore N. Menezes, Sumedh W. Sathaye, Thomas M. Conte Path Prediction for High Issue-Rate Processors. Search on Bibsonomy IEEE PACT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF high issue-rate processors, path prediction, issue bandwidth, multiple branches, path prediction automaton, arbitrary subgraphs, scalability single access prediction, low hardware cost, instruction-level parallelism, pipeline processing, speculative execution, cycle, performance improvement
23Jack L. Lo, Susan J. Eggers, Henry M. Levy, Sujay S. Parekh, Dean M. Tullsen Tuning Compiler Optimizations for Simultaneous Multithreading. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF cyclic algorithm, fine-grained sharing, inter-thread instruction-level parallelism, loop-iteration scheduling, memory system resources, software speculative execution, performance, parallel programs, parallel architecture, compiler optimizations, shared-memory multiprocessors, processor architecture, instructions, simultaneous multithreading, latency hiding, loop tiling, optimising compilers, inter-processor communication, cache size
23Dileep Bhandarkar, Jianxun Jason Ding Performance Characterization of the Pentium(r) Pro Processor. Search on Bibsonomy HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Pentium® Pro processor, SPEC CPU95, SYSmark/NT, performance evaluation, computer architecture, workload characterization, speculative execution, out of order execution
23David Raymond Lutz, Doddaballapur Narasimha-Murthy Jayasimha Early Zero Detection. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF half-adder form, branch penalties, branch resolution, zero detection, speculative execution, ILP
23John A. Chandy, Prithviraj Banerjee Parallel simulated annealing strategies for VLSI cell placement. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF parallel simulated annealing strategies, VLSI cell placement, cell placement annealing, multiple Markov chains, parallel moves approach, parallel algorithms, VLSI, simulated annealing, Markov processes, VLSI design, circuit layout CAD, integrated circuit layout, speculative computation, standard cell placement
23Bradley L. Noble, Gregory D. Peterson, Roger D. Chamberlain Performance of synchronous parallel discrete-event simulation. Search on Bibsonomy HICSS (2) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF synchronous parallel discrete-event simulation algorithms, global clock algorithm, conservative lookahead algorithm, execution platforms, shared computational resources, torus topology, performance, parallel algorithms, synchronization, resource allocation, discrete event simulation, local area networks, queueing theory, network topology, synchronisation, shared-memory multiprocessor, shared memory systems, queueing network, software performance evaluation, granularity, workstation network, load imbalance, speculative computation
23John D. Bunda, Donald S. Fussell, William C. Athas Energy-efficient instruction set architecture for CMOS microprocessors. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF energy-efficient instruction set architecture, CMOS microprocessors, portable battery-based applications, performance-driven designs, processor architecture tradeoffs, program encoding size, instruction set richness, energy cost, speculative instruction fetching, execution resources, instruction-level parallel machines, multiple-path instruction fetching, high execution bandwidth, power management, microprocessor chips, instruction sets, power dissipation, CMOS digital integrated circuits, reduced instruction set computing, cooling, design constraint, instruction delivery, code density
23Siamak Arya, Howard Sachs, Sreeram Duvvuru An architecture for high instruction level parallelism. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF high instruction level parallelism, sequential order, code execution, dataflow problems, condition bits, nonblocking cache, Software Scheduled SuperScalar, parallel programming, compiler, parallel architectures, parallel architecture, pipelining, program compilers, data flow analysis, software pipelining, pipeline processing, data flow, processor architecture, speculative execution, control flow, hardware support, program control structures, branches, registers, functional units, multiple instructions, conditional execution
22Arun Kejariwal, Milind Girkar, Xinmin Tian, Hideki Saito 0001, Alexandru Nicolau, Alexander V. Veidenbaum, Utpal Banerjee, Constantine D. Polychronopoulos Exploitation of nested thread-level speculative parallelism on multi-core systems. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF performance, thread-level speculation
22Paolo Romano 0002, Roberto Palmieri, Francesco Quaglia, Nuno Carvalho, Luís E. T. Rodrigues Brief announcement: on speculative replication of transactional systems. Search on Bibsonomy SPAA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF serialization theory, replication, atomic broadcast
22Lukasz Ziarek, Suresh Jagannathan, Matthew Fluet, Umut A. Acar Speculative N-Way barriers. Search on Bibsonomy DAMP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fork/join execution, n-way barriers, speculation, multi-threaded programs
22Cheng Wang 0013, Youfeng Wu, Edson Borin, Shiliang Hu, Wei Liu 0014, Dave Sager, Tin-Fook Ngai, Jesse Fang Dynamic parallelization of single-threaded binary programs using speculative slicing. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF backward slicing, binary optimization, single-thread performance, parallelization, speculations
22Michael R. Head, Madhusudhan Govindaraju Performance enhancement with speculative execution based parallelism for processing large-scale xml-based application data. Search on Bibsonomy HPDC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF chip-level multiprocessing, parallel techniques, xml datasets
22Shailender Chaudhry, Robert Cypher, Magnus Ekman, Martin Karlsson, Anders Landin, Sherman Yip, Håkan Zeffer, Marc Tremblay Simultaneous speculative threading: a novel pipeline architecture implemented in sun's rock processor. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF checkpoint-based architecture, hardware speculation, sst, chip multiprocessor, cmp, instruction-level parallelism, processor architecture, memory-level parallelism
22Dongsoo Kang, Chen Liu 0001, Jean-Luc Gaudiot The Impact of Speculative Execution on SMT Processors. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Speculation control, Simultaneous multithreading, Thread scheduling, Confidence estimator
22Antonia Zhai, J. Gregory Steffan, Christopher B. Colohan, Todd C. Mowry Compiler and hardware support for reducing the synchronization of speculative threads. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF automatic parallelization, instruction scheduling, Thread-level speculation, chip-multiprocessing
22Andrey Brito, Christof Fetzer, Heiko Sturzrehm, Pascal Felber Speculative out-of-order event processing with software transaction memory. Search on Bibsonomy DEBS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF software transactional memory, event stream processing
22Sumeet Kumar, Aneesh Aggarwal Speculative instruction validation for performance-reliability trade-off. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Butler W. Lampson Lazy and speculative execution in computer systems. Search on Bibsonomy ICFP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF lazy evaluation
22Hagen Gädke, Andreas Koch 0001 Accelerating Speculative Execution in High-Level Synthesis with Cancel Tokens. Search on Bibsonomy ARC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Juan Carlos Martínez Santos, Yunsi Fei Leveraging speculative architectures for run-time program validation. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Krishna M. Kavi, Wentong Li, Ali R. Hurson A Non-blocking Multithreaded Architecture with Support for Speculative Threads. Search on Bibsonomy ICA3PP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Cache Coherency, Thread Level Speculation, Multithreaded Architectures, Decoupled Architecture
22Yong Chen 0001, Surendra Byna, Xian-He Sun, Rajeev Thakur, William Gropp 2008 International Conference on Parallel Processing September 8-12, 2008 Portland, Oregon Exploring Parallel I/O Concurrency with Speculative Prefetching. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Marc Feeley Speculative Inlining of Predefined Procedures in an R5RS Scheme to C Compiler. Search on Bibsonomy IFL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Anton Yrjönen, Mika Hongisto, Kari Kolehmainen PROPHET: speculative load prediction algorithm for dynamic performance scaling. Search on Bibsonomy IWCMC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF load prediction algorithm, low-energy computing, dynamic voltage scaling, performance tuning
22Cyriel Minkenberg, Mitchell Gusat Speculative Flow Control for High-Radix Datacenter Interconnect Routers. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Pen-Chung Yew A Compiler Framework for Supporting Speculative Multicore Processors. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Ruipeng Li, Hai Jiang 0003, Hung-Chi Su, Bin Zhang 0005, Jeff Jenness Speculative and distributed simulation of many-particle collision systems. Search on Bibsonomy ICPADS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Pamela Jennings Speculative data and the creative imaginary: shared innovative visions between art and technology. Search on Bibsonomy Creativity & Cognition The full citation details ... 2007 DBLP  DOI  BibTeX  RDF creative digital media, information technology and creative practices (ITCP), interaction design, creativity, communities of practice, interactive art, computational thinking, exhibition
22James Tuck 0001, Wei Liu 0014, Josep Torrellas CAP: Criticality analysis for power-efficient speculative multithreading. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Weihuang Wang, Gwan Choi Speculative Energy Scheduling for LDPC Decoding. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Troy A. Johnson, Rudolf Eigenmann, T. N. Vijaykumar Speculative thread decomposition through empirical optimization. Search on Bibsonomy PPoPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF empirical search, chip multiprocessor, decomposition, multi-core, thread-level speculation
22Toshinori Sato, Yuu Tanaka, Hidenori Sato, Toshimasa Funaki, Takenori Koushiro, Akihiro Chiyonobu Improving Energy Efficiency Via Speculative Multithreading on MultiCore Processors. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Luis Ceze, James Tuck 0001, Josep Torrellas, Calin Cascaval Bulk Disambiguation of Speculative Threads in Multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 2046 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license