The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for cache with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-1978 (15) 1979-1981 (20) 1982-1983 (21) 1984-1985 (28) 1986 (23) 1987 (35) 1988 (61) 1989 (73) 1990 (96) 1991 (85) 1992 (81) 1993 (130) 1994 (154) 1995 (188) 1996 (193) 1997 (259) 1998 (253) 1999 (352) 2000 (385) 2001 (377) 2002 (510) 2003 (560) 2004 (726) 2005 (744) 2006 (745) 2007 (796) 2008 (715) 2009 (674) 2010 (441) 2011 (398) 2012 (414) 2013 (427) 2014 (471) 2015 (502) 2016 (590) 2017 (657) 2018 (688) 2019 (648) 2020 (562) 2021 (514) 2022 (475) 2023 (474) 2024 (106)
Publication types (Num. hits)
article(4878) book(11) data(2) incollection(53) inproceedings(10541) phdthesis(180) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(720) ISCA(311) IEEE Trans. Computers(298) MICRO(222) HPCA(220) ICCD(208) DATE(202) IPDPS(182) IEEE Trans. Parallel Distribut...(169) ICS(130) IEEE Access(130) DAC(125) PACT(123) ICPP(122) IEEE Trans. Very Large Scale I...(118) ASPLOS(113) More (+10 of total 2245)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 9462 occurrences of 2787 keywords

Results
Found 15666 publication records. Showing 15666 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
35Richard S. L. Wu, Allan K. Y. Wong, Tharam S. Dillon Using Real-Time Traffic Pattern Detection for Dynamic Cache Size Tuning in Information Retrieval. Search on Bibsonomy ICITA (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dynamic cache size tuning, RTPD/MACSC, popularity ratio, Internet traffic patterns, hit ratio
35Salvador Petit, Julio Sahuquillo, Jose M. Such, David R. Kaeli Exploiting temporal locality in drowsy cache policies. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF drowsy cache policies, reuse information, low-power, temporal locality, set-associative caches
35Keqiu Li, Hong Shen 0001, Di Wu Cooperative Determination on Cache Replacement Candidates for Transcoding Proxy Caching. Search on Bibsonomy GCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF performance evaluation, Internet, Web caching, cache replacement, multimedia object, transcoding proxy
35Ravi R. Iyer 0001 Characterization and Evaluation of Cache Hierarchies for Web Servers. Search on Bibsonomy World Wide Web The full citation details ... 2004 DBLP  DOI  BibTeX  RDF chipsets, snoop filters, simulation, performance evaluation, memory hierarchy, web servers, cache coherence, shared caches, commercial workloads
35Zhiyong Xu, Sohum Sohoni, Rui Min, Yiming Hu An Analysis of Cache Performance of Multimedia Applications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Multimedia applications, memory systems, cache performance, TLB
35Johan Stärner, Lars Asplund Measuring the cache interference cost in preemptive real-time systems. Search on Bibsonomy LCTES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF real-time operating system, preemption, cache interference
35José González 0002, Fernando Latorre, Antonio González 0001 Cache organizations for clustered microarchitectures. Search on Bibsonomy WMPI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF clustering, memory hierarchy, steering, cache organization
35Mikhail Mikhailov, Craig E. Wills Evaluating a new approach to strong web cache consistency with snapshots of collected content. Search on Bibsonomy WWW The full citation details ... 2003 DBLP  DOI  BibTeX  RDF change characteristics, collected content, object relationships, server invalidation, web caching, cache consistency, object composition
35Chuanjun Zhang, Frank Vahid Cache Configuration Exploration on Prototyping Platforms. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2003 DBLP  DOI  BibTeX  RDF embedded systems, low power, memory hierarchy, low energy, architecture tuning, Configurable cache, system-level exploration
35Dan Nicolaescu, Alexander V. Veidenbaum, Alexandru Nicolau Reducing data cache energy consumption via cached load/store queue. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF LSQ, load queue, store queue, low power, cache, memory, low energy, low latency
35Enric Gibert, F. Jesús Sánchez, Antonio González 0001 An interleaved cache clustered VLIW processor. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF attraction buffers, modulo scheduling, VLIW processors, distributed cache, clustered microarchitectures
35Kyoungwoon Cho, Yeonseung Ryu, Youjip Won, Kern Koh ABM: Looping Reference-Aware Cache Management Scheme for Media-on-Demand Server. Search on Bibsonomy EDBT Workshops The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Looping reference, ABM, Multimedia, File System, LRU, Buffer Cache, Interval Caching
35Caroline Benveniste, Peter A. Franaszek, John T. Robinson Cache-Memory Interfaces in Compressed Memory Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF memory compression, performance analysis, trace-driven simulation, cache design, Memory system design
35Donald Kossmann, Michael J. Franklin, Gerhard Drasch Cache investment: integrating query optimization and distributed data placement. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF cache investment, client-server database systems, dynamic data placement, query shipping, caching, query optimization, data shipping
35Hock-Beng Lim, Pen-Chung Yew An Integrated Framework for Compiler-Directed Cache Coherence and Data Prefetching. Search on Bibsonomy LCPC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Compiler-directed Cache Coherence, Memory Latency Hiding, Shared-memory Multiprocessors, Data Prefetching
35Daniel H. Friendly, Sanjay J. Patel, Yale N. Patt Alternative Fetch and Issue Policies for the Trace Cache Fetch Mechanism. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF high bandwidth fetch mechanisms, wide issue machines, inactive issue, speculative execution, trace cache, partial matching
35Chun Xia, Josep Torrellas Improving the Data Cache Performance of Multiprocessor Operating Systems. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF data cache performance, operating system effect on caches, bus-based multiprocessor, address trace evaluation, block operations, latency hiding
35Dean M. Tullsen, Susan J. Eggers Effective Cache Prefetching on Bus-Based Multiprocessors Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF bus-based multiprocessors, memory latency hiding, false sharing, cache prefetching
35Olivier Temam, Christine Fricker, William Jalby Cache Interference Phenomena. Search on Bibsonomy SIGMETRICS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF cache interferences or conflicts, performance evaluation, modeling, data locality, numerical codes
35Ann Marie Grizzaffi Maynard, Colette M. Donnelly, Bret R. Olszewski Contrasting Characteristics and Cache Performance of Technical and Multi-User Commercial Workloads. Search on Bibsonomy ASPLOS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF operating system activity, technical applications, cache performance, memory subsystems, commercial workloads
35Steven L. Scott, James R. Goodman Performance of Pruning-Cache Directories for Large-Scale Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF pruning-cache directories, multilevel inclusion, n-cube topology, bottleneck-free communication, multiprocessor interconnection networks, shared-memory multiprocessors, shared memory systems, storage management, memory architecture, buffer storage, large-scale multiprocessors
35Jeffrey J. Rothschild Cache organizations. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 1979 DBLP  DOI  BibTeX  RDF Buffer memories, computer architecture, cache memories, paging, memory organization
35Jeffrey Stuecheli, Dimitris Kaseridis, David Daly, Hillery C. Hunter, Lizy K. John The virtual write queue: coordinating DRAM and last-level cache policies. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cmp many-core, ddr ddr2 ddr3, dram-parameters, memory-scheduling writeback, page-mode, write-queue, write-scheduling, dram, cache-replacement, last-level-cache
35Jan Reineke 0001, Daniel Grund, Christoph Berg, Reinhard Wilhelm Timing predictability of cache replacement policies. Search on Bibsonomy Real Time Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Predictability, Timing analysis, Hard real-time systems, Cache replacement policies, Cache analysis
35Yaomin Fu, Peter Bodorik, Dawn N. Jutla A Self-Managed Predicate-Based Cache. Search on Bibsonomy CNSR The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Predicate Cache, Cache Evaluation, Distributed Systems, Storage Management
35Guido Bertoni, Vittorio Zaccaria, Luca Breveglieri, Matteo Monchiero, Gianluca Palermo AES Power Attack Based on Induced Cache Miss and Countermeasure. Search on Bibsonomy ITCC (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Cache, Block Cipher, AES, Power Analysis, Cache Miss
35Ernesto Jiménez, Antonio Fernández 0001, Vicent Cholvi A Parametrized Algorithm that Implements Sequential, Causal, and Cache Memory Consistency. Search on Bibsonomy PDP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Distributed shared memory (DSM), causal and cache consistency models, fast memory operations, full replication of data, invalidation and propagation of data, causal and cache algorithms, sequential, sequential
35Eric Rotenberg, Steve Bennett, James E. Smith 0001 A Trace Cache Microarchitecture and Evaluation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF multiple branch prediction, superscalar processors, Instruction cache, trace cache, instruction fetching
35Sanjay J. Patel, Daniel H. Friendly, Yale N. Patt Evaluation of Design Options for the Trace Cache Fetch Mechanism. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF High bandwidth fetch mechanisms, wide issue machines, speculative execution, instruction cache, trace cache
35F. Jesús Sánchez, Antonio González 0001, Mateo Valero Static Locality Analysis for Cache Management. Search on Bibsonomy IEEE PACT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Selective Cache, Dual Data Cache, Locality analysis
35Michael Marchetti, Leonidas I. Kontothanassis, Ricardo Bianchini, Michael L. Scott Using simple page placement policies to reduce the cost of cache fills in coherent shared-memory systems. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF page placement policies, cache fills, OS-based page placement, page replication, performance, shared memory systems, shared-memory systems, storage management, operating systems (computers), cache storage, paged storage, distributed shared memory multiprocessors, page migration
35Yingjie Zhao, Nong Xiao Bargain Cache: Using File-System Metadata to Reduce the Cache Miss Penalty. Search on Bibsonomy PDCAT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Qinqi Wei, Changsheng Xie, Xu Li Stripe-Cache: An Efficient Cache Scheme for Building Multimedia Oriented RAID System. Search on Bibsonomy HCI (4) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35John Casey, Ashley Chonka, Wanlei Zhou 0001 Adjacency Cache: Fast Web Cache Indexing and Lookup. Search on Bibsonomy ACIS-ICIS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Antonis Hondroulis, Costas Harizakis, Peter Triantafillou Optimal Cache Memory Exploitation for Continuous Media: To Cache or to Prefetch? Search on Bibsonomy Multim. Tools Appl. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF simulation, modeling, caching, statistical analysis, prefetching, video streams, multimedia servers
35Jianliang Xu, Qinglong Hu, Wang-Chien Lee, Dik Lun Lee An Optimal Cache Replacement Policy for Wireless Data Dissemination under Cache Consistency. Search on Bibsonomy ICPP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
35Stefanos Kaxiras, Zhigang Hu, Girija J. Narlikar, Rae McLellan Cache-Line Decay: A Mechanism to Reduce Cache Leakage Power. Search on Bibsonomy PACS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
35Julio Sahuquillo, Ana Pont, Veljko M. Milutinovic The Filter Data Cache: A Tour Management Comparison with Related Split Data Cache Schemes Sensitive to Data Localities. Search on Bibsonomy ISHPC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
31Moinuddin K. Qureshi Adaptive Spill-Receive for robust high-performance caching in CMPs. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Kiyeon Lee, Shayne Evans, Sangyeun Cho Accurately approximating superscalar processor performance from traces. Search on Bibsonomy ISPASS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Mehrtash Manoochehri, Alireza Ejlali, Seyed Ghassem Miremadi Joint write policy and fault-tolerance mechanism selection for caches in DSM technologies: Energy-reliability trade-off. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Mohammad Hammoud, Sangyeun Cho, Rami G. Melhem ACM: An Efficient Approach for Managing Shared Caches in Chip Multiprocessors. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Fang Liu, Fei Guo, Yan Solihin, Seongbeom Kim, Abdulaziz Eker Characterizing and modeling the behavior of context switch misses. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF context switch misses, stack distance profiling, prefetching, analytical model
31Lei Jin 0002, Sangyeun Cho Taming Single-Thread Program Performance on Many Distributed On-Chip L2 Caches. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Madhu Mutyam, Narayanan Vijaykrishnan Working with process variation aware caches. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Sangyeun Cho, Lei Jin 0002, Kiyeon Lee Achieving Predictable Performance with On-Chip Shared L2 Caches for Manycore-Based Real-Time Systems. Search on Bibsonomy RTCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Jugash Chandarlapati, Mainak Chaudhuri LEMap: Controlling leakage in large chip-multiprocessor caches via profile-guided virtual address translation. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Jaume Abella 0001, Antonio González 0001 SAMIE-LSQ: set-associative multiple-instruction entry load/store queue. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Vasileios Liaskovitis, Shimin Chen, Phillip B. Gibbons, Anastassia Ailamaki, Guy E. Blelloch, Babak Falsafi, Limor Fix, Nikos Hardavellas, Michael Kozuch, Todd C. Mowry, Chris Wilkerson Parallel depth first vs. work stealing schedulers on CMP architectures. Search on Bibsonomy SPAA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF scheduling, caches, chip multiprocessors
31Theo Härder Caching over the Entire User-to-Data Path in the Internet. Search on Bibsonomy Data Management in a Connected World The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Jia-Jhe Li, Yuan-Shin Hwang Snug set-associative caches: reducing leakage power while improving performance. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF leakage power, set-associative caches
31Krishnan Murugan, Sivasankar, Balaji Coimbatore GaneshSankar, Sethu Shanmugavel Implementation and Performance Study of Route Caching Mechanisms in DSR and HER Routing Algorithms for MANET. Search on Bibsonomy ISPA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Xiaoqin Ma, Gene Cooperman Fast Query Processing by Distributing an Index over CPU Caches. Search on Bibsonomy CLUSTER The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Lakshmish Ramaswamy, Ling Liu 0001 An Expiration Age-Based Document Placement Scheme for Cooperative Web Caching. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Cooperative Web caching, document placement, distributed caching
31Jie S. Hu, Narayanan Vijaykrishnan, Mary Jane Irwin, Mahmut T. Kandemir Using Dynamic Branch Behavior for Power-Efficient Instruction Fetch. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Andrei Z. Broder, Marc Najork, Janet L. Wiener Efficient URL caching for world wide web crawling. Search on Bibsonomy WWW The full citation details ... 2003 DBLP  DOI  BibTeX  RDF URL caching, distributed crawlers, web graph models, caching, crawling, web crawlers
31Carey L. Williamson On filter effects in web caching hierarchies. Search on Bibsonomy ACM Trans. Internet Techn. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Web proxy caching hierarchies, Performance evaluation, World-Wide-Web, Web performance
31G. Edward Suh, Srinivas Devadas, Larry Rudolph A New Memory Monitoring Scheme for Memory-Aware Scheduling and Partitioning. Search on Bibsonomy HPCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
31Emmett Witchel, Samuel Larsen, C. Scott Ananian, Krste Asanovic Direct addressed caches for reduced power consumption. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
31Athena Vakali A Web-Based Evolutionary Model for Internet Data Caching. Search on Bibsonomy DEXA Workshops The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Web-based object caching, evolutionary computation algorithms, Internet applications
31Junho Shim, Peter Scheuermann, Radek Vingralek Dynamic Caching of Query Results for Decision Support Systems. Search on Bibsonomy SSDBM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
31Nikolaos Bellas, Ibrahim N. Hajj, George D. Stamoulis, Constantine D. Polychronopoulos Architectural and compiler support for energy reduction in the memory hierarchy of high performance microprocessors. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
31Rabin A. Sugumar, Santosh G. Abraham Efficient Simulation of Caches under Optimal Replacement with Applications to Miss Characterization. Search on Bibsonomy SIGMETRICS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
31Prabuddha Biswas, K. K. Ramakrishnan Trace Driven Analysis of Write Caching Policies for Disks. Search on Bibsonomy SIGMETRICS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
31Senthil Krishnamoorthy, Alok N. Choudhary An Evaluation of Set-Associativity in Two-Level Caches for Shared Memory Multiprocessors. Search on Bibsonomy PARLE The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
31Shekhar Srikantaiah, Mahmut T. Kandemir, Mary Jane Irwin Adaptive set pinning: managing shared caches in chip multiprocessors. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF inter-processor, intra-processor, set pinning, CMP, shared cache
31Chun-Mok Chung, Jihong Kim 0001, Dohyung Kim Reducing snoop-energy in shared bus-based mpsocs by filtering useless broadcasts. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF broadcast filtering, low-energy cache coherency, MPSoC
31Sumesh Udayakumaran, Angel Dominguez, Rajeev Barua Dynamic allocation for scratch-pad memory using compile-time decisions. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF embedded systems, compiler, Memory allocation, software caching, scratch pad, software-managed cache
31Antonio Martí Campoy, Eugenio Tamura, Sergio Sáez, Francisco Rodríguez 0003, José V. Busquets-Mataix On Using Locking Caches in Embedded Real-Time Systems. Search on Bibsonomy ICESS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF genetic algorithms, performance evaluation, predictability, response time, Cache memories, schedulability analysis, execution time, embedded real-time systems
31Susanne Albers New results on web caching with request reordering. Search on Bibsonomy SPAA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cache, approximation, document, competitive, online, batch, offline
31Anastassia Ailamaki, David J. DeWitt, Mark D. Hill Data page layouts for relational databases on deep memory hierarchies. Search on Bibsonomy VLDB J. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Relational data placement, Disk page layout, Cache-conscious database systems
31Hilla Atzmon, Roy Friedman, Roman Vitenberg Replacement Policies for a Distributed Object Caching Service. Search on Bibsonomy OTM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF CORBA, Cache Replacement Policies, Object Caching
31Fabian Wolf, Jan Staschulat, Rolf Ernst Associative caches in formal software timing analysis. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF real-time, timing analysis, embedded software, cache analysis
31Wen-Tsong Shiue, Sathishkumar Udayanarayanan, Chaitali Chakrabarti Data memory design and exploration for low-power embedded systems. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Data cache, search space pruning
31Philip Bohannon, Peter McIlroy, Rajeev Rastogi Main-Memory Index Structures with Fixed-Size Partial Keys. Search on Bibsonomy SIGMOD Conference The full citation details ... 2001 DBLP  DOI  BibTeX  RDF T-tree, main-memory indices, cache coherence, B-trees, key compression
31Vegard Holmedahl, Ben Smith, Tao Yang 0009 Cooperative Caching of Dynamic Content on a Distributed Web Server. Search on Bibsonomy HPDC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF dynamic content caching scalable Web servers, SWEB, distributed web server, CGI caching, dynamic requests, digital libraries, caching, Web servers, cooperative caching, cache consistency, CGI
31Evan Torrie, Margaret Martonosi, Chau-Wen Tseng, Mary W. Hall Characterizing the Memory Behavior of Compiler-Parallelized Applications. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF false and true sharing, parallelism granularity, memory hierarchies, shared-memory multiprocessors, Parallelizing compilers, cache performance
31Jiang Lin, Qingda Lu, Xiaoning Ding, Zhao Zhang 0010, Xiaodong Zhang 0001, P. Sadayappan Enabling software management for multicore caches with a lightweight hardware support. Search on Bibsonomy SC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multicore, shared cache, cache management
31Arne Maus, Stein Gjessing A Model for the Effect of Caching on Algorithmic Efficiency in Radix based Sorting. Search on Bibsonomy ICSEA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cache friendly algorithms, caches, sorting, cache models, radix
31Afrin Naz, Krishna M. Kavi, Jung-Hwan Oh 0001, Pierfrancesco Foglia Reconfigurable split data caches: a novel scheme for embedded systems. Search on Bibsonomy SAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF split cache, embedded systems, cache, locality, reconfigurability
31Xueyan Tang, Samuel T. Chanson Coordinated En-Route Web Caching. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF web cache management, web object placement, transparent web cache, performance evaluation, World Wide Web, dynamic programming, Web caching
31Thomas M. Conte, Sanjeev Banerjia, Sergei Y. Larin, Kishore N. Menezes, Sumedh W. Sathaye Instruction Fetch Mechanisms for VLIW Architectures with Compressed Encodings. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF TINKER experimental testbed, compressed encodings, compressed instruction encoding, i-fetch hardware, instruction fetch mechanisms, instruction words, multiple instruction issue, silo cache, parallel architectures, trace-driven simulations, instruction cache, VLIW architectures
31Gyungho Lee An assessment of COMA multiprocessors. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Cache Only Memory Architecture, Perfect Club Benchmark Suite, coherence policy, performance evaluation, performance, discrete event simulation, memory hierarchy, shared memory systems, distributed memory systems, update, trace driven simulations, cache storage, network traffic, miss ratio, distributed shared memory multiprocessors, shared address space, invalidate
31Shekhar Srikantaiah, Mahmut T. Kandemir, Qian Wang SHARP control: controlled shared cache management in chip multiprocessors. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Carlos E. Gómez, María-Del-Pilar Villamil, Harold E. Castro, Laurent d'Orazio DHTCache: A Distributed Service to Improve the Selection of Cache Configurations within a Highly-Distributed Context. Search on Bibsonomy Globe The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Cuiping Xu, Ge Zhang, Shouqing Hao Fast Way-Prediction Instruction Cache for Energy Efficiency and High Performance. Search on Bibsonomy NAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Han Wan, Xiaopeng Gao, Xiang Long, Zhiqiang Wang GCSim: A GPU-Based Trace-Driven Simulator for Multi-level Cache. Search on Bibsonomy APPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF parallel algorithms, caches, GPGPU, CUDA, trace-driven simulation
31Shekhar Srikantaiah, Reetuparna Das, Asit K. Mishra, Chita R. Das, Mahmut T. Kandemir A case for integrated processor-cache partitioning in chip multiprocessors. Search on Bibsonomy SC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Arno Moonen, Marco Bekooij, René van den Berg, Jef L. van Meerbergen Cache Aware Mapping of Streaming Applications on a Multiprocessor System-on-Chip. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Timothy M. Jones 0001, Sandro Bartolini, Bruno De Bus, John Cavazos, Michael F. P. O'Boyle Instruction Cache Energy Saving Through Compiler Way-Placement. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Roberto Giorgi, Paolo Bennati Reducing Leakage through Filter Cache. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Jiang Lin, Qingda Lu, Xiaoning Ding, Zhao Zhang 0010, Xiaodong Zhang 0001, P. Sadayappan Gaining insights into multicore cache partitioning: Bridging the gap between simulation and real systems. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Minghua Tang, Xiaola Lin A Novel Scheme to Balance the Cache Sharing in High Performance Computing System. Search on Bibsonomy HPCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Hideya Ochiai, Hiroshi Esaki Accuracy-Based Cache Consistency Management for Numerical Object Replication. Search on Bibsonomy SAINT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Numerical Object, Replication, Consistency
31Bach Duy Bui, Marco Caccamo, Lui Sha, Joseph Martinez Impact of Cache Partitioning on Multi-tasking Real Time Embedded Systems. Search on Bibsonomy RTCSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Andres Medina, Stephan Bohacek A model of topology cache in reactive routing protocols for MANETs. Search on Bibsonomy WICON The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Prasanth Mangalagiri, Karthik Sarpatwari, Aditya Yanamandra, Vijaykrishnan Narayanan, Yuan Xie 0001, Mary Jane Irwin, Osama Awadel Karim A low-power phase change memory based hybrid cache architecture. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF PRAM, phase change memory
31Michael J. Geiger, Sally A. McKee, Gary S. Tyson Specializing Cache Structures for High Performance and Energy Conservation in Embedded Systems. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Xiaotong Zhuang, Hsien-Hsin S. Lee Reducing Cache Pollution via Dynamic Data Prefetch Filtering. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Prefetch, microarchitecture, memory subsystems
31Zhen He, Alonso Marquez Path and cache conscious prefetching (PCCP). Search on Bibsonomy VLDB J. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Clustering, Databases, Caching, Prefetching
Displaying result #601 - #700 of 15666 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license