|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 9462 occurrences of 2787 keywords
|
|
|
Results
Found 15666 publication records. Showing 15666 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
35 | Richard S. L. Wu, Allan K. Y. Wong, Tharam S. Dillon |
Using Real-Time Traffic Pattern Detection for Dynamic Cache Size Tuning in Information Retrieval. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICITA (2) ![In: Third International Conference on Information Technology and Applications (ICITA 2005), 4-7 July 2005, Sydney, Australia, pp. 35-40, 2005, IEEE Computer Society, 0-7695-2316-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
dynamic cache size tuning, RTPD/MACSC, popularity ratio, Internet traffic patterns, hit ratio |
35 | Salvador Petit, Julio Sahuquillo, Jose M. Such, David R. Kaeli |
Exploiting temporal locality in drowsy cache policies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the Second Conference on Computing Frontiers, 2005, Ischia, Italy, May 4-6, 2005, pp. 371-377, 2005, ACM, 1-59593-019-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
drowsy cache policies, reuse information, low-power, temporal locality, set-associative caches |
35 | Keqiu Li, Hong Shen 0001, Di Wu |
Cooperative Determination on Cache Replacement Candidates for Transcoding Proxy Caching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GCC ![In: Grid and Cooperative Computing - GCC 2005, 4th International Conference, Beijing, China, November 30 - December 3, 2005, Proceedings, pp. 1043-1053, 2005, Springer, 3-540-30510-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
performance evaluation, Internet, Web caching, cache replacement, multimedia object, transcoding proxy |
35 | Ravi R. Iyer 0001 |
Characterization and Evaluation of Cache Hierarchies for Web Servers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
World Wide Web ![In: World Wide Web 7(3), pp. 259-280, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
chipsets, snoop filters, simulation, performance evaluation, memory hierarchy, web servers, cache coherence, shared caches, commercial workloads |
35 | Zhiyong Xu, Sohum Sohoni, Rui Min, Yiming Hu |
An Analysis of Cache Performance of Multimedia Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 53(1), pp. 20-38, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Multimedia applications, memory systems, cache performance, TLB |
35 | Johan Stärner, Lars Asplund |
Measuring the cache interference cost in preemptive real-time systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2004 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'04), Washington, DC, USA, June 11-13, 2004, pp. 146-154, 2004, ACM, 1-58113-806-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
real-time operating system, preemption, cache interference |
35 | José González 0002, Fernando Latorre, Antonio González 0001 |
Cache organizations for clustered microarchitectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WMPI ![In: Proceedings of the 3rd Workshop on Memory Performance Issues, in conjunction with the 31st International Symposium on Computer Architecture 2004, Munich, Germany, June 20, 2004, pp. 46-55, 2004, ACM, 1-59593-040-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
clustering, memory hierarchy, steering, cache organization |
35 | Mikhail Mikhailov, Craig E. Wills |
Evaluating a new approach to strong web cache consistency with snapshots of collected content. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WWW ![In: Proceedings of the Twelfth International World Wide Web Conference, WWW 2003, Budapest, Hungary, May 20-24, 2003, pp. 599-608, 2003, ACM, 1-58113-680-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
change characteristics, collected content, object relationships, server invalidation, web caching, cache consistency, object composition |
35 | Chuanjun Zhang, Frank Vahid |
Cache Configuration Exploration on Prototyping Platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE International Workshop on Rapid System Prototyping ![In: 14th IEEE International Workshop on Rapid System Prototyping (RSP 2003), 9-11 June 2003, San Diego, CA, USA, pp. 164-, 2003, IEEE Computer Society, 0-7695-1943-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
embedded systems, low power, memory hierarchy, low energy, architecture tuning, Configurable cache, system-level exploration |
35 | Dan Nicolaescu, Alexander V. Veidenbaum, Alexandru Nicolau |
Reducing data cache energy consumption via cached load/store queue. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003, Seoul, Korea, August 25-27, 2003, pp. 252-257, 2003, ACM, 1-58113-682-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
LSQ, load queue, store queue, low power, cache, memory, low energy, low latency |
35 | Enric Gibert, F. Jesús Sánchez, Antonio González 0001 |
An interleaved cache clustered VLIW processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 16th international conference on Supercomputing, ICS 2002, New York City, NY, USA, June 22-26, 2002, pp. 210-219, 2002, ACM, 1-58113-483-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
attraction buffers, modulo scheduling, VLIW processors, distributed cache, clustered microarchitectures |
35 | Kyoungwoon Cho, Yeonseung Ryu, Youjip Won, Kern Koh |
ABM: Looping Reference-Aware Cache Management Scheme for Media-on-Demand Server. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EDBT Workshops ![In: XML-Based Data Management and Multimedia Engineering - EDBT 2002 Workshops, EDBT 2002 Workshops XMLDM, MDDE, and YRWS, Prague, Czech Republic, March 24-28, 2002, Revised Papers, pp. 484-500, 2002, Springer, 3-540-00130-1. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Looping reference, ABM, Multimedia, File System, LRU, Buffer Cache, Interval Caching |
35 | Caroline Benveniste, Peter A. Franaszek, John T. Robinson |
Cache-Memory Interfaces in Compressed Memory Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 50(11), pp. 1106-1116, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
memory compression, performance analysis, trace-driven simulation, cache design, Memory system design |
35 | Donald Kossmann, Michael J. Franklin, Gerhard Drasch |
Cache investment: integrating query optimization and distributed data placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Database Syst. ![In: ACM Trans. Database Syst. 25(4), pp. 517-558, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
cache investment, client-server database systems, dynamic data placement, query shipping, caching, query optimization, data shipping |
35 | Hock-Beng Lim, Pen-Chung Yew |
An Integrated Framework for Compiler-Directed Cache Coherence and Data Prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![In: Languages and Compilers for Parallel Computing, 11th International Workshop, LCPC'98, Chapel Hill, NC, USA, August 7-9, 1998, Proceedings, pp. 51-67, 1998, Springer, 3-540-66426-2. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
Compiler-directed Cache Coherence, Memory Latency Hiding, Shared-memory Multiprocessors, Data Prefetching |
35 | Daniel H. Friendly, Sanjay J. Patel, Yale N. Patt |
Alternative Fetch and Issue Policies for the Trace Cache Fetch Mechanism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the Thirtieth Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 30, Research Triangle Park, North Carolina, USA, December 1-3, 1997, pp. 24-33, 1997, ACM/IEEE Computer Society, 0-8186-7977-8. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
high bandwidth fetch mechanisms, wide issue machines, inactive issue, speculative execution, trace cache, partial matching |
35 | Chun Xia, Josep Torrellas |
Improving the Data Cache Performance of Multiprocessor Operating Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Second International Symposium on High-Performance Computer Architecture, San Jose, CA, USA, February 3-7, 1996, pp. 85-94, 1996, IEEE Computer Society, 0-8186-7237-4. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
data cache performance, operating system effect on caches, bus-based multiprocessor, address trace evaluation, block operations, latency hiding |
35 | Dean M. Tullsen, Susan J. Eggers |
Effective Cache Prefetching on Bus-Based Multiprocessors ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 13(1), pp. 57-88, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
bus-based multiprocessors, memory latency hiding, false sharing, cache prefetching |
35 | Olivier Temam, Christine Fricker, William Jalby |
Cache Interference Phenomena. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the 1994 ACM SIGMETRICS conference on Measurement and modeling of computer systems, Vanderbilt University, Nashville, Tennessee, USA, May 16-20, 1994, pp. 261-271, 1994, ACM, 0-89791-659-X. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
cache interferences or conflicts, performance evaluation, modeling, data locality, numerical codes |
35 | Ann Marie Grizzaffi Maynard, Colette M. Donnelly, Bret R. Olszewski |
Contrasting Characteristics and Cache Performance of Technical and Multi-User Commercial Workloads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: ASPLOS-VI Proceedings - Sixth International Conference on Architectural Support for Programming Languages and Operating Systems, San Jose, California, USA, October 4-7, 1994., pp. 145-156, 1994, ACM Press, 0-89791-660-3. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
operating system activity, technical applications, cache performance, memory subsystems, commercial workloads |
35 | Steven L. Scott, James R. Goodman |
Performance of Pruning-Cache Directories for Large-Scale Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 4(5), pp. 520-534, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
pruning-cache directories, multilevel inclusion, n-cube topology, bottleneck-free communication, multiprocessor interconnection networks, shared-memory multiprocessors, shared memory systems, storage management, memory architecture, buffer storage, large-scale multiprocessors |
35 | Jeffrey J. Rothschild |
Cache organizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Southeast Regional Conference ![In: Proceedings of the 17th Annual Southeast Regional Conference, 1979, Orlando, Florida, USA, April 9-11, 1979, pp. 106-110, 1979, ACM, 978-1-4503-7330-2. The full citation details ...](Pics/full.jpeg) |
1979 |
DBLP DOI BibTeX RDF |
Buffer memories, computer architecture, cache memories, paging, memory organization |
35 | Jeffrey Stuecheli, Dimitris Kaseridis, David Daly, Hillery C. Hunter, Lizy K. John |
The virtual write queue: coordinating DRAM and last-level cache policies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 37th International Symposium on Computer Architecture (ISCA 2010), June 19-23, 2010, Saint-Malo, France, pp. 72-82, 2010, ACM, 978-1-4503-0053-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
cmp many-core, ddr ddr2 ddr3, dram-parameters, memory-scheduling writeback, page-mode, write-queue, write-scheduling, dram, cache-replacement, last-level-cache |
35 | Jan Reineke 0001, Daniel Grund, Christoph Berg, Reinhard Wilhelm |
Timing predictability of cache replacement policies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Real Time Syst. ![In: Real Time Syst. 37(2), pp. 99-122, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Predictability, Timing analysis, Hard real-time systems, Cache replacement policies, Cache analysis |
35 | Yaomin Fu, Peter Bodorik, Dawn N. Jutla |
A Self-Managed Predicate-Based Cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CNSR ![In: Third Annual Conference on Communication Networks and Services Research (CNSR 2005), 16-18 May 2005, Halifax, Novia Scotia, Canada, pp. 313-318, 2005, IEEE Computer Society, 0-7695-2333-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Predicate Cache, Cache Evaluation, Distributed Systems, Storage Management |
35 | Guido Bertoni, Vittorio Zaccaria, Luca Breveglieri, Matteo Monchiero, Gianluca Palermo |
AES Power Attack Based on Induced Cache Miss and Countermeasure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITCC (1) ![In: International Symposium on Information Technology: Coding and Computing (ITCC 2005), Volume 1, 4-6 April 2005, Las Vegas, Nevada, USA, pp. 586-591, 2005, IEEE Computer Society, 0-7695-2315-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Cache, Block Cipher, AES, Power Analysis, Cache Miss |
35 | Ernesto Jiménez, Antonio Fernández 0001, Vicent Cholvi |
A Parametrized Algorithm that Implements Sequential, Causal, and Cache Memory Consistency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: 10th Euromicro Workshop on Parallel, Distributed and Network-Based Processing (PDP 2002), 9-11 January 2002, Canary Islands, Spain, pp. 437-444, 2002, IEEE Computer Society, 0-7695-1444-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Distributed shared memory (DSM), causal and cache consistency models, fast memory operations, full replication of data, invalidation and propagation of data, causal and cache algorithms, sequential, sequential |
35 | Eric Rotenberg, Steve Bennett, James E. Smith 0001 |
A Trace Cache Microarchitecture and Evaluation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 48(2), pp. 111-120, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
multiple branch prediction, superscalar processors, Instruction cache, trace cache, instruction fetching |
35 | Sanjay J. Patel, Daniel H. Friendly, Yale N. Patt |
Evaluation of Design Options for the Trace Cache Fetch Mechanism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 48(2), pp. 193-204, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
High bandwidth fetch mechanisms, wide issue machines, speculative execution, instruction cache, trace cache |
35 | F. Jesús Sánchez, Antonio González 0001, Mateo Valero |
Static Locality Analysis for Cache Management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the 1997 Conference on Parallel Architectures and Compilation Techniques (PACT '97), San Francisco, CA, USA, October 11-15, 1997, pp. 261-271, 1997, IEEE Computer Society, 0-8186-8090-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
Selective Cache, Dual Data Cache, Locality analysis |
35 | Michael Marchetti, Leonidas I. Kontothanassis, Ricardo Bianchini, Michael L. Scott |
Using simple page placement policies to reduce the cost of cache fills in coherent shared-memory systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS ![In: Proceedings of IPPS '95, The 9th International Parallel Processing Symposium, April 25-28, 1995, Santa Barbara, California, USA, pp. 480-485, 1995, IEEE Computer Society, 0-8186-7074-6. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
page placement policies, cache fills, OS-based page placement, page replication, performance, shared memory systems, shared-memory systems, storage management, operating systems (computers), cache storage, paged storage, distributed shared memory multiprocessors, page migration |
35 | Yingjie Zhao, Nong Xiao |
Bargain Cache: Using File-System Metadata to Reduce the Cache Miss Penalty. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDCAT ![In: Ninth International Conference on Parallel and Distributed Computing, Applications and Technologies, PDCAT 2008, Dunedin, Otago, New Zealand, 1-4 December 2008, pp. 177-184, 2008, IEEE Computer Society, 978-0-7695-3443-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
35 | Qinqi Wei, Changsheng Xie, Xu Li |
Stripe-Cache: An Efficient Cache Scheme for Building Multimedia Oriented RAID System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HCI (4) ![In: Human-Computer Interaction. HCI Applications and Services, 12th International Conference, HCI International 2007, Beijing, China, July 22-27, 2007, Proceedings, Part IV, pp. 1130-1139, 2007, Springer, 978-3-540-73109-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
35 | John Casey, Ashley Chonka, Wanlei Zhou 0001 |
Adjacency Cache: Fast Web Cache Indexing and Lookup. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACIS-ICIS ![In: 6th Annual IEEE/ACIS International Conference on Computer and Information Science (ICIS 2007), 11-13 July 2007, Melbourne, Australia, pp. 616-621, 2007, IEEE Computer Society, 0-7695-2841-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
35 | Antonis Hondroulis, Costas Harizakis, Peter Triantafillou |
Optimal Cache Memory Exploitation for Continuous Media: To Cache or to Prefetch? ![Search on Bibsonomy](Pics/bibsonomy.png) |
Multim. Tools Appl. ![In: Multim. Tools Appl. 23(3), pp. 203-220, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
simulation, modeling, caching, statistical analysis, prefetching, video streams, multimedia servers |
35 | Jianliang Xu, Qinglong Hu, Wang-Chien Lee, Dik Lun Lee |
An Optimal Cache Replacement Policy for Wireless Data Dissemination under Cache Consistency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: Proceedings of the 2001 International Conference on Parallel Processing, ICPP 2002, 3-7 September 2001, Valencia, Spain, pp. 267-276, 2001, IEEE Computer Society, 0-7695-1257-7. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
35 | Stefanos Kaxiras, Zhigang Hu, Girija J. Narlikar, Rae McLellan |
Cache-Line Decay: A Mechanism to Reduce Cache Leakage Power. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACS ![In: Power-Aware Computer Systems, First International Workshop, PACS 2000, Cambridge, MA, USA, November 12, 2000, Revised Papers, pp. 82-96, 2000, Springer, 3-540-42329-X. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
35 | Julio Sahuquillo, Ana Pont, Veljko M. Milutinovic |
The Filter Data Cache: A Tour Management Comparison with Related Split Data Cache Schemes Sensitive to Data Localities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISHPC ![In: High Performance Computing, Third International Symposium, ISHPC 2000, Tokyo, Japan, October 16-18, 2000. Proceedings, pp. 319-327, 2000, Springer, 3-540-41128-3. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
31 | Moinuddin K. Qureshi |
Adaptive Spill-Receive for robust high-performance caching in CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 15th International Conference on High-Performance Computer Architecture (HPCA-15 2009), 14-18 February 2009, Raleigh, North Carolina, USA, pp. 45-54, 2009, IEEE Computer Society, 978-1-4244-2932-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
31 | Kiyeon Lee, Shayne Evans, Sangyeun Cho |
Accurately approximating superscalar processor performance from traces. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPASS ![In: IEEE International Symposium on Performance Analysis of Systems and Software, ISPASS 2009, April 26-28, 2009, Boston, Massachusetts, USA, Proceedings, pp. 238-248, 2009, IEEE Computer Society, 978-1-4244-4184-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
31 | Mehrtash Manoochehri, Alireza Ejlali, Seyed Ghassem Miremadi |
Joint write policy and fault-tolerance mechanism selection for caches in DSM technologies: Energy-reliability trade-off. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 10th International Symposium on Quality of Electronic Design (ISQED 2009), 16-18 March 2009, San Jose, CA, USA, pp. 839-844, 2009, IEEE Computer Society, 978-1-4244-2952-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
31 | Mohammad Hammoud, Sangyeun Cho, Rami G. Melhem |
ACM: An Efficient Approach for Managing Shared Caches in Chip Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPEAC ![In: High Performance Embedded Architectures and Compilers, Fourth International Conference, HiPEAC 2009, Paphos, Cyprus, January 25-28, 2009. Proceedings, pp. 355-372, 2009, Springer, 978-3-540-92989-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
31 | Fang Liu, Fei Guo, Yan Solihin, Seongbeom Kim, Abdulaziz Eker |
Characterizing and modeling the behavior of context switch misses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 17th International Conference on Parallel Architectures and Compilation Techniques, PACT 2008, Toronto, Ontario, Canada, October 25-29, 2008, pp. 91-101, 2008, ACM, 978-1-60558-282-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
context switch misses, stack distance profiling, prefetching, analytical model |
31 | Lei Jin 0002, Sangyeun Cho |
Taming Single-Thread Program Performance on Many Distributed On-Chip L2 Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: 2008 International Conference on Parallel Processing, ICPP 2008, September 8-12, 2008, Portland, Oregon, USA, pp. 487-494, 2008, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Madhu Mutyam, Narayanan Vijaykrishnan |
Working with process variation aware caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2007 Design, Automation and Test in Europe Conference and Exposition, DATE 2007, Nice, France, April 16-20, 2007, pp. 1152-1157, 2007, EDA Consortium, San Jose, CA, USA, 978-3-9810801-2-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
31 | Sangyeun Cho, Lei Jin 0002, Kiyeon Lee |
Achieving Predictable Performance with On-Chip Shared L2 Caches for Manycore-Based Real-Time Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: 13th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2007), 21-24 August 2007, Daegu, Korea, pp. 3-11, 2007, IEEE Computer Society, 0-7695-2975-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
31 | Jugash Chandarlapati, Mainak Chaudhuri |
LEMap: Controlling leakage in large chip-multiprocessor caches via profile-guided virtual address translation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 25th International Conference on Computer Design, ICCD 2007, 7-10 October 2007, Lake Tahoe, CA, USA, Proceedings, pp. 423-430, 2007, IEEE, 1-4244-1258-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
31 | Jaume Abella 0001, Antonio González 0001 |
SAMIE-LSQ: set-associative multiple-instruction entry load/store queue. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece, 2006, IEEE. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Vasileios Liaskovitis, Shimin Chen, Phillip B. Gibbons, Anastassia Ailamaki, Guy E. Blelloch, Babak Falsafi, Limor Fix, Nikos Hardavellas, Michael Kozuch, Todd C. Mowry, Chris Wilkerson |
Parallel depth first vs. work stealing schedulers on CMP architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: SPAA 2006: Proceedings of the 18th Annual ACM Symposium on Parallelism in Algorithms and Architectures, Cambridge, Massachusetts, USA, July 30 - August 2, 2006, pp. 330, 2006, ACM, 1-59593-452-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
scheduling, caches, chip multiprocessors |
31 | Theo Härder |
Caching over the Entire User-to-Data Path in the Internet. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Data Management in a Connected World ![In: Data Management in a Connected World, Essays Dedicated to Hartmut Wedekind on the Occasion of His 70th Birthday, pp. 67-89, 2005, Springer, 3-540-26295-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Jia-Jhe Li, Yuan-Shin Hwang |
Snug set-associative caches: reducing leakage power while improving performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005, San Diego, California, USA, August 8-10, 2005, pp. 345-350, 2005, ACM, 1-59593-137-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
leakage power, set-associative caches |
31 | Krishnan Murugan, Sivasankar, Balaji Coimbatore GaneshSankar, Sethu Shanmugavel |
Implementation and Performance Study of Route Caching Mechanisms in DSR and HER Routing Algorithms for MANET. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPA ![In: Parallel and Distributed Processing and Applications, Third International Symposium, ISPA 2005, Nanjing, China, November 2-5, 2005, Proceedings, pp. 1135-1145, 2005, Springer, 3-540-29769-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Xiaoqin Ma, Gene Cooperman |
Fast Query Processing by Distributing an Index over CPU Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CLUSTER ![In: 2005 IEEE International Conference on Cluster Computing (CLUSTER 2005), September 26 - 30, 2005, Boston, Massachusetts, USA, pp. 1-10, 2005, IEEE Computer Society, 0-7803-9485-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Lakshmish Ramaswamy, Ling Liu 0001 |
An Expiration Age-Based Document Placement Scheme for Cooperative Web Caching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Knowl. Data Eng. ![In: IEEE Trans. Knowl. Data Eng. 16(5), pp. 585-600, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Cooperative Web caching, document placement, distributed caching |
31 | Jie S. Hu, Narayanan Vijaykrishnan, Mary Jane Irwin, Mahmut T. Kandemir |
Using Dynamic Branch Behavior for Power-Efficient Instruction Fetch. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2003 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2003), New Trends and Technologies for VLSI Systems Design, 20-21 February 2003, Tampa, FL, USA, pp. 127-132, 2003, IEEE Computer Society, 0-7695-1904-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
31 | Andrei Z. Broder, Marc Najork, Janet L. Wiener |
Efficient URL caching for world wide web crawling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WWW ![In: Proceedings of the Twelfth International World Wide Web Conference, WWW 2003, Budapest, Hungary, May 20-24, 2003, pp. 679-689, 2003, ACM, 1-58113-680-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
URL caching, distributed crawlers, web graph models, caching, crawling, web crawlers |
31 | Carey L. Williamson |
On filter effects in web caching hierarchies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Internet Techn. ![In: ACM Trans. Internet Techn. 2(1), pp. 47-77, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Web proxy caching hierarchies, Performance evaluation, World-Wide-Web, Web performance |
31 | G. Edward Suh, Srinivas Devadas, Larry Rudolph |
A New Memory Monitoring Scheme for Memory-Aware Scheduling and Partitioning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Eighth International Symposium on High-Performance Computer Architecture (HPCA'02), Boston, Massachusettes, USA, February 2-6, 2002, pp. 117-128, 2002, IEEE Computer Society, 0-7695-1525-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
31 | Emmett Witchel, Samuel Larsen, C. Scott Ananian, Krste Asanovic |
Direct addressed caches for reduced power consumption. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 34th Annual International Symposium on Microarchitecture, Austin, Texas, USA, December 1-5, 2001, pp. 124-133, 2001, ACM/IEEE Computer Society, 0-7695-1369-7. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
31 | Athena Vakali |
A Web-Based Evolutionary Model for Internet Data Caching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DEXA Workshops ![In: 10th International Workshop on Database & Expert Systems Applications, Florence, Italy, September 1-3, 1999, Proceedings., pp. 650-654, 1999, IEEE Computer Society, 0-7695-0281-4. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Web-based object caching, evolutionary computation algorithms, Internet applications |
31 | Junho Shim, Peter Scheuermann, Radek Vingralek |
Dynamic Caching of Query Results for Decision Support Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SSDBM ![In: 11th International Conference on Scientific and Statistical Database Management, Proceedings, Cleveland, Ohio, USA, 28-30 July, 1999, pp. 254-263, 1999, IEEE Computer Society, 0-7695-0046-3. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
31 | Nikolaos Bellas, Ibrahim N. Hajj, George D. Stamoulis, Constantine D. Polychronopoulos |
Architectural and compiler support for energy reduction in the memory hierarchy of high performance microprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 1998 International Symposium on Low Power Electronics and Design, 1998, Monterey, California, USA, August 10-12, 1998, pp. 70-75, 1998, ACM, 1-58113-059-7. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
31 | Rabin A. Sugumar, Santosh G. Abraham |
Efficient Simulation of Caches under Optimal Replacement with Applications to Miss Characterization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the 1993 ACM SIGMETRICS conference on Measurement and modeling of computer systems, Santa Clara, California, USA, May 10-14, 1993, pp. 24-35, 1993, ACM, 0-89791-580-1. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
31 | Prabuddha Biswas, K. K. Ramakrishnan |
Trace Driven Analysis of Write Caching Policies for Disks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the 1993 ACM SIGMETRICS conference on Measurement and modeling of computer systems, Santa Clara, California, USA, May 10-14, 1993, pp. 13-23, 1993, ACM, 0-89791-580-1. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
31 | Senthil Krishnamoorthy, Alok N. Choudhary |
An Evaluation of Set-Associativity in Two-Level Caches for Shared Memory Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PARLE ![In: PARLE '92: Parallel Architectures and Languages Europe, 4th International PARLE Conference, Paris, France, June 15-18, 1992, Proceedings, pp. 751-766, 1992, Springer, 3-540-55599-4. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
31 | Shekhar Srikantaiah, Mahmut T. Kandemir, Mary Jane Irwin |
Adaptive set pinning: managing shared caches in chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 13th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2008, Seattle, WA, USA, March 1-5, 2008, pp. 135-144, 2008, ACM, 978-1-59593-958-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
inter-processor, intra-processor, set pinning, CMP, shared cache |
31 | Chun-Mok Chung, Jihong Kim 0001, Dohyung Kim |
Reducing snoop-energy in shared bus-based mpsocs by filtering useless broadcasts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, Stresa, Lago Maggiore, Italy, March 11-13, 2007, pp. 126-131, 2007, ACM, 978-1-59593-605-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
broadcast filtering, low-energy cache coherency, MPSoC |
31 | Sumesh Udayakumaran, Angel Dominguez, Rajeev Barua |
Dynamic allocation for scratch-pad memory using compile-time decisions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 5(2), pp. 472-511, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
embedded systems, compiler, Memory allocation, software caching, scratch pad, software-managed cache |
31 | Antonio Martí Campoy, Eugenio Tamura, Sergio Sáez, Francisco Rodríguez 0003, José V. Busquets-Mataix |
On Using Locking Caches in Embedded Real-Time Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICESS ![In: Embedded Software and Systems, Second International Conference, ICESS 2005, Xi'an, China, December 16-18, 2005, Proceedings, pp. 150-159, 2005, Springer, 3-540-30881-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
genetic algorithms, performance evaluation, predictability, response time, Cache memories, schedulability analysis, execution time, embedded real-time systems |
31 | Susanne Albers |
New results on web caching with request reordering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: SPAA 2004: Proceedings of the Sixteenth Annual ACM Symposium on Parallelism in Algorithms and Architectures, June 27-30, 2004, Barcelona, Spain, pp. 84-92, 2004, ACM, 1-58113-840-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
cache, approximation, document, competitive, online, batch, offline |
31 | Anastassia Ailamaki, David J. DeWitt, Mark D. Hill |
Data page layouts for relational databases on deep memory hierarchies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLDB J. ![In: VLDB J. 11(3), pp. 198-215, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Relational data placement, Disk page layout, Cache-conscious database systems |
31 | Hilla Atzmon, Roy Friedman, Roman Vitenberg |
Replacement Policies for a Distributed Object Caching Service. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OTM ![In: On the Move to Meaningful Internet Systems, 2002 - DOA/CoopIS/ODBASE 2002 Confederated International Conferences DOA, CoopIS and ODBASE 2002 Irvine, California, USA, October 30 - November 1, 2002, Proceedings, pp. 661-674, 2002, Springer, 3-540-00106-9. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
CORBA, Cache Replacement Policies, Object Caching |
31 | Fabian Wolf, Jan Staschulat, Rolf Ernst |
Associative caches in formal software timing analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 39th Design Automation Conference, DAC 2002, New Orleans, LA, USA, June 10-14, 2002, pp. 622-627, 2002, ACM, 1-58113-461-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
real-time, timing analysis, embedded software, cache analysis |
31 | Wen-Tsong Shiue, Sathishkumar Udayanarayanan, Chaitali Chakrabarti |
Data memory design and exploration for low-power embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 6(4), pp. 553-568, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Data cache, search space pruning |
31 | Philip Bohannon, Peter McIlroy, Rajeev Rastogi |
Main-Memory Index Structures with Fixed-Size Partial Keys. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: Proceedings of the 2001 ACM SIGMOD international conference on Management of data, Santa Barbara, CA, USA, May 21-24, 2001, pp. 163-174, 2001, ACM, 1-58113-332-4. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
T-tree, main-memory indices, cache coherence, B-trees, key compression |
31 | Vegard Holmedahl, Ben Smith, Tao Yang 0009 |
Cooperative Caching of Dynamic Content on a Distributed Web Server. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPDC ![In: Proceedings of the Seventh IEEE International Symposium on High Performance Distributed Computing, HPDC '98, Chicago, Illinois, USA, July 28-31, 1998., pp. 243-250, 1998, IEEE Computer Society, 0-8186-8579-4. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
dynamic content caching scalable Web servers, SWEB, distributed web server, CGI caching, dynamic requests, digital libraries, caching, Web servers, cooperative caching, cache consistency, CGI |
31 | Evan Torrie, Margaret Martonosi, Chau-Wen Tseng, Mary W. Hall |
Characterizing the Memory Behavior of Compiler-Parallelized Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 7(12), pp. 1224-1237, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
false and true sharing, parallelism granularity, memory hierarchies, shared-memory multiprocessors, Parallelizing compilers, cache performance |
31 | Jiang Lin, Qingda Lu, Xiaoning Ding, Zhao Zhang 0010, Xiaodong Zhang 0001, P. Sadayappan |
Enabling software management for multicore caches with a lightweight hardware support. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE Conference on High Performance Computing, SC 2009, November 14-20, 2009, Portland, Oregon, USA, 2009, ACM, 978-1-60558-744-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
multicore, shared cache, cache management |
31 | Arne Maus, Stein Gjessing |
A Model for the Effect of Caching on Algorithmic Efficiency in Radix based Sorting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSEA ![In: Proceedings of the Second International Conference on Software Engineering Advances (ICSEA 2007), August 25-31, 2007, Cap Esterel, French Riviera, France, pp. 33, 2007, IEEE Computer Society, 0-7695-2937-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
cache friendly algorithms, caches, sorting, cache models, radix |
31 | Afrin Naz, Krishna M. Kavi, Jung-Hwan Oh 0001, Pierfrancesco Foglia |
Reconfigurable split data caches: a novel scheme for embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2007 ACM Symposium on Applied Computing (SAC), Seoul, Korea, March 11-15, 2007, pp. 707-712, 2007, ACM, 1-59593-480-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
split cache, embedded systems, cache, locality, reconfigurability |
31 | Xueyan Tang, Samuel T. Chanson |
Coordinated En-Route Web Caching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 51(6), pp. 595-607, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
web cache management, web object placement, transparent web cache, performance evaluation, World Wide Web, dynamic programming, Web caching |
31 | Thomas M. Conte, Sanjeev Banerjia, Sergei Y. Larin, Kishore N. Menezes, Sumedh W. Sathaye |
Instruction Fetch Mechanisms for VLIW Architectures with Compressed Encodings. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 29th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 29, Paris, France, December 2-4, 1996, pp. 201-211, 1996, ACM/IEEE Computer Society, 0-8186-7641-8. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
TINKER experimental testbed, compressed encodings, compressed instruction encoding, i-fetch hardware, instruction fetch mechanisms, instruction words, multiple instruction issue, silo cache, parallel architectures, trace-driven simulations, instruction cache, VLIW architectures |
31 | Gyungho Lee |
An assessment of COMA multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS ![In: Proceedings of IPPS '95, The 9th International Parallel Processing Symposium, April 25-28, 1995, Santa Barbara, California, USA, pp. 388-392, 1995, IEEE Computer Society, 0-8186-7074-6. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
Cache Only Memory Architecture, Perfect Club Benchmark Suite, coherence policy, performance evaluation, performance, discrete event simulation, memory hierarchy, shared memory systems, distributed memory systems, update, trace driven simulations, cache storage, network traffic, miss ratio, distributed shared memory multiprocessors, shared address space, invalidate |
31 | Shekhar Srikantaiah, Mahmut T. Kandemir, Qian Wang |
SHARP control: controlled shared cache management in chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), December 12-16, 2009, New York, New York, USA, pp. 517-528, 2009, ACM, 978-1-60558-798-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
31 | Carlos E. Gómez, María-Del-Pilar Villamil, Harold E. Castro, Laurent d'Orazio |
DHTCache: A Distributed Service to Improve the Selection of Cache Configurations within a Highly-Distributed Context. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Globe ![In: Data Management in Grid and Peer-to-Peer Systems, Second International Conference, Globe 2009, Linz, Austria, September 1-2, 2009, Proceedings, pp. 48-59, 2009, Springer, 978-3-642-03714-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
31 | Cuiping Xu, Ge Zhang, Shouqing Hao |
Fast Way-Prediction Instruction Cache for Energy Efficiency and High Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NAS ![In: International Conference on Networking, Architecture, and Storage, NAS 2009, 9-11 July 2009, Zhang Jia Jie, Hunan, China, pp. 235-238, 2009, IEEE Computer Society, 978-0-7695-3741-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
31 | Han Wan, Xiaopeng Gao, Xiang Long, Zhiqiang Wang |
GCSim: A GPU-Based Trace-Driven Simulator for Multi-level Cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APPT ![In: Advanced Parallel Processing Technologies, 8th International Symposium, APPT 2009, Rapperswil, Switzerland, August 24-25, 2009, Proceedings, pp. 177-190, 2009, Springer, 978-3-642-03643-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
parallel algorithms, caches, GPGPU, CUDA, trace-driven simulation |
31 | Shekhar Srikantaiah, Reetuparna Das, Asit K. Mishra, Chita R. Das, Mahmut T. Kandemir |
A case for integrated processor-cache partitioning in chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE Conference on High Performance Computing, SC 2009, November 14-20, 2009, Portland, Oregon, USA, 2009, ACM, 978-1-60558-744-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
31 | Arno Moonen, Marco Bekooij, René van den Berg, Jef L. van Meerbergen |
Cache Aware Mapping of Streaming Applications on a Multiprocessor System-on-Chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 2008, Munich, Germany, March 10-14, 2008, pp. 300-305, 2008, ACM, 978-3-9810801-3-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Timothy M. Jones 0001, Sandro Bartolini, Bruno De Bus, John Cavazos, Michael F. P. O'Boyle |
Instruction Cache Energy Saving Through Compiler Way-Placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 2008, Munich, Germany, March 10-14, 2008, pp. 1196-1201, 2008, ACM, 978-3-9810801-3-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Roberto Giorgi, Paolo Bennati |
Reducing Leakage through Filter Cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: 11th Euromicro Conference on Digital System Design: Architectures, Methods and Tools, DSD 2008, Parma, Italy, September 3-5, 2008, pp. 334-341, 2008, IEEE Computer Society, 978-0-7695-3277-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Jiang Lin, Qingda Lu, Xiaoning Ding, Zhao Zhang 0010, Xiaodong Zhang 0001, P. Sadayappan |
Gaining insights into multicore cache partitioning: Bridging the gap between simulation and real systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 14th International Conference on High-Performance Computer Architecture (HPCA-14 2008), 16-20 February 2008, Salt Lake City, UT, USA, pp. 367-378, 2008, IEEE Computer Society, 978-1-4244-2070-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Minghua Tang, Xiaola Lin |
A Novel Scheme to Balance the Cache Sharing in High Performance Computing System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCC ![In: 10th IEEE International Conference on High Performance Computing and Communications, HPCC 2008, 25-27 Sept. 2008, Dalian, China, pp. 695-701, 2008, IEEE Computer Society, 978-0-7695-3352-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Hideya Ochiai, Hiroshi Esaki |
Accuracy-Based Cache Consistency Management for Numerical Object Replication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAINT ![In: Proceedings of the 2008 International Symposium on Applications and the Internet, SAINT 2008, 28 July - 1 August 2008, Turku, Finland, pp. 421-424, 2008, IEEE Computer Society, 978-0-7695-3297-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Numerical Object, Replication, Consistency |
31 | Bach Duy Bui, Marco Caccamo, Lui Sha, Joseph Martinez |
Impact of Cache Partitioning on Multi-tasking Real Time Embedded Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: The Fourteenth IEEE Internationl Conference on Embedded and Real-Time Computing Systems and Applications, RTCSA 2008, Kaohisung, Taiwan, 25-27 August 2008, Proceedings, pp. 101-110, 2008, IEEE Computer Society, 978-0-7695-3349-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Andres Medina, Stephan Bohacek |
A model of topology cache in reactive routing protocols for MANETs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WICON ![In: Proceedings of the 4th Annual International Conference on Wireless Internet, WICON 2008, Maui, Hawaii, USA, November 17-19, 2008, pp. 3, 2008, ICST, 978-963-9799-36-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Prasanth Mangalagiri, Karthik Sarpatwari, Aditya Yanamandra, Vijaykrishnan Narayanan, Yuan Xie 0001, Mary Jane Irwin, Osama Awadel Karim |
A low-power phase change memory based hybrid cache architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, Orlando, Florida, USA, May 4-6, 2008, pp. 395-398, 2008, ACM, 978-1-59593-999-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
PRAM, phase change memory |
31 | Michael J. Geiger, Sally A. McKee, Gary S. Tyson |
Specializing Cache Structures for High Performance and Energy Conservation in Embedded Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Trans. High Perform. Embed. Archit. Compil. ![In: Transactions on High-Performance Embedded Architectures and Compilers I, pp. 54-73, 2007, Springer, 978-3-540-71527-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
31 | Xiaotong Zhuang, Hsien-Hsin S. Lee |
Reducing Cache Pollution via Dynamic Data Prefetch Filtering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 56(1), pp. 18-31, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Prefetch, microarchitecture, memory subsystems |
31 | Zhen He, Alonso Marquez |
Path and cache conscious prefetching (PCCP). ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLDB J. ![In: VLDB J. 16(2), pp. 235-249, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Clustering, Databases, Caching, Prefetching |
Displaying result #601 - #700 of 15666 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ 15][ 16][ >>] |
|