The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Reconfiguration with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1970-1978 (17) 1980-1985 (22) 1986-1987 (26) 1988 (23) 1989 (20) 1990 (26) 1991 (31) 1992 (30) 1993 (36) 1994 (46) 1995 (55) 1996 (47) 1997 (50) 1998 (89) 1999 (103) 2000 (164) 2001 (129) 2002 (199) 2003 (261) 2004 (353) 2005 (357) 2006 (442) 2007 (411) 2008 (435) 2009 (327) 2010 (234) 2011 (210) 2012 (252) 2013 (236) 2014 (246) 2015 (241) 2016 (218) 2017 (201) 2018 (250) 2019 (253) 2020 (254) 2021 (248) 2022 (250) 2023 (250) 2024 (67)
Publication types (Num. hits)
article(2175) book(11) data(4) incollection(20) inproceedings(4779) phdthesis(120)
Venues (Conferences, Journals, ...)
CoRR(256) FPL(247) IPDPS(142) FCCM(91) IEEE Access(83) DATE(76) IEEE Trans. Computers(74) ICRA(68) IEEE Trans. Very Large Scale I...(66) FPGA(61) IROS(55) ReConFig(54) DFT(47) AHS(46) FPT(43) ARC(41) More (+10 of total 1850)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3596 occurrences of 1595 keywords

Results
Found 7109 publication records. Showing 7109 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Daniel Merkle, Martin Middendorf, Alexander Scheidler Using Decentralized Clustering for Task Allocation in Networks with Reconfigurable Helper Units. Search on Bibsonomy IWSOS/EuroNGI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Michael Soanes Process Design Strategies to Address Breadth and Depth Complexity. Search on Bibsonomy Business Process Management Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Process design methodologies, process design tools
17Mohamed Taher, Tarek A. El-Ghazawi Exploiting processing locality through paging configurations in multitasked reconfigurable systems. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17George Economakos High-level synthesis with reconfigurable datapath components. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Eryk Laskowski, Marek Tudruj Efficient Parallel Embedded Computing through Look-Ahead Configured Dynamic Inter-Processor Connections. Search on Bibsonomy PARELEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Kirill V. Pavsky Stochastic Analysis of Solving Complex Problem on Distributed Computer. Search on Bibsonomy PARELEC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Yong-Kyu Jung A Hardware/Software Co-reconfigurable Multimedia Architecture. Search on Bibsonomy ESTIMedia The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Björn Griese, Mario Porrmann A Reconfigurable Ethernet Switch for Self-Optimizing Communication Systems. Search on Bibsonomy BICC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Ondrej Novák, Zdenek Plíva, Jiri Jenícek, Zbynek Mader, Michal Jarkovský Self Testing SoC with Reduced Memory Requirements and Minimized Hardware Overhead. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Helge Parzyjegla, Gero Mühl, Michael A. Jaeger Reconfiguring Publish/Subscribe Overlay Topologies. Search on Bibsonomy ICDCS Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Topology Reconfigurations, Dynamic Overlay Networks, Publish/Subscribe, Content-Based Routing
17Kasper Støy How to Construct Dense Objects with Self-Recondfigurable Robots. Search on Bibsonomy EUROS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Mototsugu Miyano, Minoru Watanabe, Fuminori Kobayashi Optically Differential Reconfigurable Gate Array Using an Optical System with VCSELs. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Elena Moscu Panainte, Koen Bertels, Stamatis Vassiliadis Instruction Scheduling for Dynamic Hardware Configurations. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Markus Koester, Mario Porrmann, Ulrich Rückert 0001 Placement-Oriented Modeling of Partially Reconfigurable Architectures. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Toshiyuki Ito, Junji Kitamichi, Kenichi Kuroda, Yuichi Okuyama A Master-Slave Adaptive Load-Distribution Processor Model on PCA. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Kaoutar El Maghraoui, Boleslaw K. Szymanski, Carlos A. Varela An Architecture for Reconfigurable Iterative MPI Applications in Dynamic Environments. Search on Bibsonomy PPAM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Minoru Watanabe, Fuminori Kobayashi A 16, 000-gate-count optically reconfigurable gate array in a standard 0.35µm CMOS technology. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Marcelo Götz, Achim Rettberg, Carlos Eduardo Pereira A Run-Time Partitioning Algorithm for RTOS on Reconfigurable Hardware. Search on Bibsonomy EUC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Aleksandra Tesanovic, Mehdi Amirijoo, Daniel Nilsson, Henrik Norin, Jörgen Hansson Ensuring Real-Time Performance Guarantees in Dynamically Reconfigurable Embedded Systems. Search on Bibsonomy EUC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Chuan-Ching Sue, Min-Shao Shieh, Chin-Yu Huang Dependable WDM networks with reconfigurable edge-disjoint p-cycles. Search on Bibsonomy ITRE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Hamid Fadishei, Morteza Saheb Zamani, Masoud Sabaei A novel reconfigurable hardware architecture for IP address lookup. Search on Bibsonomy ANCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF field-programmable gate array (FPGA), application specific integrated circuit (ASIC), hashing, reconfigurable hardware, longest prefix matching, IP address lookup
17Paul White, K. Kopanski, Hod Lipson Stochastic Self-reconfigurable Cellular Robotics. Search on Bibsonomy ICRA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Luciano Baresi, Reiko Heckel, Sebastian Thöne, Dániel Varró Style-Based Refinement of Dynamic Software Architectures. Search on Bibsonomy WICSA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Xin Jia, Jayanthi Rajagopalan, Ranga Vemuri A Dynamically Reconfigurable Asynchronous FPGA Architecture. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Stefan Ihmor, Wolfram Hardt Runtime Reconfigurable Interfaces - The RTR-IFB Approach. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Valentin Puente, José A. Gregorio, Fernando Vallejo, Ramón Beivide Immunet: A Cheap and Robust Fault-Tolerant Packet Routing Mechanism. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Brian Towles, William J. Dally Guaranteed scheduling for switches with configuration overhead. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF packet switching, optical switches
17Shyue-Kung Lu A Novel Built-In Self-Repair Approach for Embedded RAMs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF divided word line, fault tolerance, redundancy, low power design, embedded memory
17Ingebjørg Theiss, Olav Lysne FROOTS - Fault Handling in Up*/Down* Routed Networks with Multiple Roots. Search on Bibsonomy HiPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Rajesh Subramanyan, José Miguel-Alonso, José A. B. Fortes A Reconfigurable Monitoring System for Large-Scale Network Computing. Search on Bibsonomy Euro-Par The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Marco Castaldi, Antonio Carzaniga, Paola Inverardi, Alexander L. Wolf A Lightweight Infrastructure for Reconfiguring Applications. Search on Bibsonomy SCM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Juanjo Noguera, Rosa M. Badia System-level power-performance trade-offs in task scheduling for dynamically reconfigurable architectures. Search on Bibsonomy CASES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF reconfigurable computing, dynamic scheduling, clock-gating, frequency scaling, power-performance trade-offs
17Ryan J. Fong, Scott J. Harper, Peter M. Athanas A Versatile Framework for FPGA Field Updates: An Application of Partial Self-Reconfiguation. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Garrison W. Greenwood, Edward Ramsden, Saima Ahmed An Empirical Comparison of Evolutionary Algorithms for Evolvable Hardware. Search on Bibsonomy Evolvable Hardware The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17John C. Knight, Jonathan Hill, Philip E. Varner, Premkumar T. Devanbu, Alexander L. Wolf, Dennis Heimbigner Willow System Demonstration. Search on Bibsonomy DISCEX (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Antonino Virgillito, Roberto Beraldi, Roberto Baldoni On Event Routing in Content-Based Publish/Subscribe through Dynamic Networks. Search on Bibsonomy FTDCS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Olivia Das, C. Murray Woodside Modeling the Coverage and Effectiveness of Fault-Management Architectures in Layered Distributed Systems. Search on Bibsonomy DSN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Dirk Desmet, Prabhat Avasare, Paul Coene, Stijn Decneut, Filip Hendrickx, Théodore Marescaux, Jean-Yves Mignolet, Robert Pasko, Patrick Schaumont, Diederik Verkest Design of Cam-E-leon, a Run-Time Reconfigurable Web Camera. Search on Bibsonomy Embedded Processor Design Challenges The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Jürgen Teich, Markus Köster (Self-)reconfigurable Finite State Machines: Theory and Implementation. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Bogdan M. Maziarz, Vijay K. Jain Yield Estimates for the TESH Multicomputer Network. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Li Shang, Niraj K. Jha Hardware-Software Co-Synthesis of Low Power Real-Time Distributed Embedded Systems with Dynamically Reconfigurable FPGAs. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Nicole Lesley, Alan D. Fekete Reconfigurable Algorithms in View Synchrony. Search on Bibsonomy NCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Marek Tudruj Embedded Cluster Computing through Dynamic Reconfigurability of Inter-Processor Connections. Search on Bibsonomy IWCC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Nancy A. Lynch Implementing atomic objects in a dynamic environment. Search on Bibsonomy PODC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Manuel G. Gericota, Gustavo R. Alves, Miguel L. Silva, José M. Ferreira 0001 DRAFT: An On-Line Fault Detection Method for Dynamic and Partially Reconfigurable FPGAs. Search on Bibsonomy IOLTW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Rui S. Moreira, Gordon S. Blair, Eurico Carrapatoso A Reflective Component-Based & Architecture Aware Framework to Manage Architecture Composition. Search on Bibsonomy DOA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Meenakshi Kaul, Ranga Vemuri Design-Space Exploration for Block-Processing Based Temporal Partitioning of Run-Time Reconfigurable Systems. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Daniel Won-Kyu Hong, Dong-Sik Yun Network management with bounded flooding routing algorithm to ensure IP QoS over ATM virtual path network. Search on Bibsonomy NOMS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Michael Eisenring, Marco Platzner Optimization of Run-Time Reconfigurable Embedded Systems. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Tero Rissa, Jarkko Niittylahti A Hybrid Prototyping Platform for Dynamically Reconfigurable Designs. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Milan Vasilko, Graham Benyon-Tinker Automatic Temporal Floorplanning with Guaranteed Solution Feasibility. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Gordon Hollingworth, Steve Smith, Andy M. Tyrrell The Intrinsic Evolution of Virtex Devices Through Internet Reconfigurable Logic. Search on Bibsonomy ICES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Eryk Laskowski Program Graph Scheduling in the Look-Ahead Reconfigurable Multiprocessor System. Search on Bibsonomy PARELEC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Jennifer E. Walter, Jennifer L. Welch, Nancy M. Amato Distributed reconfigurtion of metamorphic robot chains. Search on Bibsonomy PODC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Itsuo Takanami Built-in Self-Reconfiguring Systems for Mesh-Connected Processor Arrays with Spares on Two Rows/Columns. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Milan Vasilko, David Cabanis Improving Simulation Accuracy in Design Methodologies for Dynamically Reconfigurable Logic Systems. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Jyh-Chang Ueng, Ce-Kuen Shieh, Wu-Hwa Liu, Chi-Chang Lin Proteus: A Runtime Reconfigurable Distributed Shared Memory System. Search on Bibsonomy HPDC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Peter J. McCann, Gruia-Catalin Roman Compositional Programming Abstractions for Mobile Computing. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Mobile UNITY, transient interactions, mobile computing, Formal methods, synchronization, weak consistency, shared variables
17Karl-Erwin Großpietsch, Erik Maehle Fehlerbehandlung in komplexen nebenläufigen Systemen. Search on Bibsonomy Inform. Spektrum The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Dynamische Redundanz, Systemrekonfiguration, Routing, Recovery
17Scott Hauck Configuration Prefetch for Single Context Reconfigurable Coprocessors. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Michael Rabinovich, Edward D. Lazowska Asynchronous Epoch Management in Replicated Databases. Search on Bibsonomy WDAG The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Theodora A. Varvarigou, Vwani P. Roychowdhury, Thomas Kailath New algorithms for reconfiguring VLSI/WSI arrays. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17Kifung C. Cheung, Gurindar S. Sohi, Kewal K. Saluja, Dhiraj K. Pradhan Organization and Analysis of a Gracefully-Degrading Interleaved Memory System. Search on Bibsonomy ISCA The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
17Sy-Yen Kuo, W. Kent Fuchs Efficient spare allocation in reconfigurable arrays. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
17Mariagiovanna Sami, Renato Stefanelli Reconfigurable architectures for VLSI processing arrays. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1983 DBLP  DOI  BibTeX  RDF
16Zhanpeng Jin, Richard Neil Pittman, Alessandro Forin Reconfigurable custom floating-point instructions (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF emips, reconfigurable, extension, floating-point, partial reconfiguration
16Marc-André Daigneault, Jean-Pierre David Towards 5ps resolution TDC on a dynamically reconfigurable FPGA (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF time-to-digital converter, vernier method, field programmable gate array, dynamic reconfiguration
16Daniel Sykes, William Heaven, Jeff Magee, Jeff Kramer Exploiting non-functional preferences in architectural adaptation for self-managed systems. Search on Bibsonomy SAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF software architecture, dynamic reconfiguration, self-adaptive, autonomous systems, non-functional properties
16Wei Zhang 0012, Niraj K. Jha, Li Shang A hybrid Nano/CMOS dynamically reconfigurable system - Part II: Design optimization flow. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF design optimization flow, logic folding, Dynamic reconfiguration, NATURE
16Dirk Koch, Christian Beckhoff, Jürgen Teich A communication architecture for complex runtime reconfigurable systems and its implementation on spartan-3 FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga, reconfiguration, communication architecture
16Jeffrey M. Carver, Richard Neil Pittman, Alessandro Forin Automatic bus macro placement for partially reconfigurable FPGA designs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF emips, reconfigurable computing, dynamic partial reconfiguration, floor-planning
16Pierre Bomel, Jérémie Crenne, Linfeng Ye, Jean-Philippe Diguet, Guy Gogniat Ultra-Fast Downloading of Partial Bitstreams through Ethernet. Search on Bibsonomy ARCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF bitstream server, ultra-fast downloading, FPGA, Ethernet, partial reconfiguration, link layer
16Amirhosein Taherkordi, Quan Le Trung, Romain Rouvoy, Frank Eliassen WiSeKit: A Distributed Middleware to Support Application-Level Adaptation in Sensor Networks. Search on Bibsonomy DAIS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF wireless sensor networks, adaptation, reconfiguration, distributed middleware
16Andrew Brzezinski, Eytan H. Modiano Achieving 100% throughput in reconfigurable optical networks. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Birkhoff-von Neumann (BvN), WDM reconfiguration, performance evaluation, queueing network, wavelength division multiplexing (WDM), matrix decomposition, IP-over-WDM, input-queueing
16Carsten Albrecht, Philipp Roß, Roman Koch, Thilo Pionteck, Erik Maehle Performance Analysis of Bus-Based Interconnects for a Run-Time Reconfigurable Co-Processor Platform. Search on Bibsonomy PDP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Network Co-processor, SoC Interconnect, Run-Time Reconfiguration
16Robert Baird, Michelle Hepner, Noah Jorgenson, Rose F. Gamble Automating Preference and Change in Workflows. Search on Bibsonomy ICCBSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF workflows, Reconfiguration
16Ming-Chiuan Shiu, Hou-Tsan Lee, Feng-Li Lian, Li-Chen Fu Actuation Design of Two-Dimensional Self-Reconfigurable Robots. Search on Bibsonomy SUTC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Robot, Actuation, self-reconfiguration
16Eddy Truyen, Nico Janssens, Frans Sanen, Wouter Joosen Support for distributed adaptations in aspect-oriented middleware. Search on Bibsonomy AOSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF DyRes, JBoss AOP, Spring AOP, distributed aspects, run-time aspect weaving, dynamic reconfiguration
16Danny Hughes 0001, Nelly Bencomo, Gordon S. Blair, Geoff Coulson, Paul Grace, Barry Porter Exploiting extreme heterogeneity in a flood warning scenario using the Gridkit middleware. Search on Bibsonomy Middleware (Companion) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF wireless sensor networks, middleware, implementation, reconfiguration
16Michael W. Hofbaur, Johannes Köb, Gerald Steinbauer, Franz Wotawa Improving Robustness of Mobile Robots Using Model-based Reasoning. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF reconfiguration, motion, model-based diagnosis, autonomous mobile robot
16Ahmed A. El Farag, Hatem M. El-Boghdadi, Samir I. Shaheen Improving utilization of reconfigurable resources using two-dimensional compaction. Search on Bibsonomy J. Supercomput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Pre-emptive tasks, Online placement, Compaction, Partial reconfiguration, Resources utilization
16Zhanglei Wang, Krishnendu Chakrabarty Built-in Self-test and Defect Tolerance in Molecular Electronics-based Nanofabrics. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF nanofabric, CAEN, chemically assembled, reconfiguration, BIST, nanotechnology, defect tolerance, molecular electronics
16Fei Su, Jun Zeng Computer-Aided Design and Test for Digital Microfluidics. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF digital microfluidics, simulation, test, computer-aided design, CAD, reconfiguration, synthesis
16Kang Sun, Jun Zheng, Yuanyuan Li, Xuezeng Pan Design of a Simulator for Mesh-Based Reconfigurable Architectures. Search on Bibsonomy NPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF simulator, reconfigurable computing, dynamic reconfiguration, reconfigurable mesh
16Junsik Kim 0003, Sangchul Oh, Eunseon Cho, Namhoon Park, Nam Kim SCA-Based Reconfigurable Access Terminal. Search on Bibsonomy International Conference on Computational Science (4) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Middleware, Reconfiguration, Software Component, SDR, SCA
16Octavian-Dumitru Mocanu, Joan Oliver Simulation of a Signal Arbitration Algorithm for a Sensor Array. Search on Bibsonomy EUROCAST The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Processor unit, Reconfiguration, Arbitration, Sensor array
16Adrian Dumitrescu, János Pach Pushing Squares Around. Search on Bibsonomy Graphs Comb. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Metamorphic systems, Motion planning, Reconfiguration algorithm
16Radhika Nagpal, Franco Zambonelli, Emin Gün Sirer, Hakima Chaouchi, Mikhail I. Smirnov Interdisciplinary Research: Roles for Self-Organization. Search on Bibsonomy IEEE Intell. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF distributed systems, distributed systems, adaptation, resource management, reconfiguration, self-organization, automation, research, self-management, autonomic communication, self-adaptive systems, resource optimization, amorphous computing, adaptive structures
16Srinivasan Murali, Martijn Coenen, Andrei Radulescu, Kees Goossens, Giovanni De Micheli Mapping and configuration methods for multi-use-case networks on chips. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF guaranteed throughput, multiple application platforms, systems on chips, networks on chips, reconfiguration, dynamic, use-cases, voltage scaling, frequency scaling, best effort
16Gianpaolo Cugola, Gian Pietro Picco REDS: a reconfigurable dispatching system. Search on Bibsonomy SEM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF mobile and peer-to-peer computing, dynamic reconfiguration, publish-subscribe, content-based routing
16Katarina Paulsson, Michael Hübner 0001, Jürgen Becker 0001 On-line optimization of FPGA power-dissipation by exploiting run-time adaption of communication primitives. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF dynamic and partial FPGA reconfiguration, on-line adaptation, power dissipation
16Wim Heirman, Joni Dambre, Jan M. Van Campenhout Congestion modeling for reconfigurable inter-processor networks. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF interconnection network, prediction, reconfiguration, congestion
16Song Peng, Rajit Manohar Yield enhancement of asynchronous logic circuits through 3-dimensional integration technology. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF asynchronous circuits, yield, defect tolerance, 3D integration, self-reconfiguration
16Jian Wang 0039, Huiqiang Wang, Guosheng Zhao ERAS - an Emergency Response Algorithm for Survivability of Critical Services. Search on Bibsonomy IMSCCS (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF critical service, resource reconfiguration, survivability, emergency response
16Sharath Babu Musunoori, Geir Horn, Frank Eliassen, Mourad Alia On the Challenge of Allocating Service Based Applications in a Grid Environment. Search on Bibsonomy ICAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Application Configuration, Application Reconfiguration, Scheduling, Adaptation, Partitioning, Mapping
16Wei Zhang 0012, Niraj K. Jha, Li Shang NATURE: a hybrid nanotube/CMOS dynamically reconfigurable architecture. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF NRAM, logic folding, run-time reconfiguration
16Wu Jigang, Thambipillai Srikanthan Power Efficient Sub-Array in Reconfigurable VLSI Meshes. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF degradable VLSI mesh, fault-tolerance, reconfiguration, NP-complete, heuristic algorithm
16Phan Cong Vinh, Jonathan P. Bowen Continuity aspects of embedded reconfigurable computing. Search on Bibsonomy Innov. Syst. Softw. Eng. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Reconfigurable computing Software development, Embedded systems, Formal methods, Dynamic reconfiguration
16Florian Dittmann 0001, Markus Heberling Placement of intermodule connections on partially reconfigurable devices. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF intermodule communication, reconfigurable computing, run-time reconfiguration
16Humberto Calderon, Stamatis Vassiliadis Reconfigurable universal SAD-multiplier array. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF sum of absolute differences, reconfigurable computing, partial reconfiguration, binary multiplication
Displaying result #701 - #800 of 7109 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license