The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for FSMs with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1983-1991 (24) 1992-1993 (15) 1994-1995 (22) 1996-1997 (32) 1998 (18) 1999 (16) 2000 (16) 2001-2002 (25) 2003 (20) 2004 (22) 2005 (22) 2006 (20) 2007 (22) 2008 (16) 2009-2010 (17) 2011-2015 (15) 2016-2018 (19) 2019-2020 (16) 2021-2022 (15) 2023-2024 (7)
Publication types (Num. hits)
article(99) incollection(1) inproceedings(279)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 376 occurrences of 244 keywords

Results
Found 379 publication records. Showing 379 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
11Mariusz Rawski, Henry Selvaraj, Tadeusz Luba An Application of Functional Decomposition in ROM-Based FSM Implementation in FPGA Devices. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11James Moscola, John W. Lockwood, Ronald Prescott Loui, Michael Pachos Implementation of a Content-Scanning Module for an Internet Firewall. Search on Bibsonomy FCCM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Amit K. Chopra, Munindar P. Singh Nonmonotonic Commitment Machines. Search on Bibsonomy Workshop on Agent Communication Languages The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Dario Bonino, Fulvio Corno, Giovanni Squillero A Real-Time Evolutionary Algorithm for Web Prediction. Search on Bibsonomy Web Intelligence The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Simon M. Lucas Evolving Finite State Transducers: Some Initial Explorations. Search on Bibsonomy EuroGP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Carlos Molina-Jiménez, Santosh K. Shrivastava, Ellis Solaiman, John P. Warne Contract Representation for Run-time Monitoring and Enforcement. Search on Bibsonomy CEC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11José C. Monteiro 0001, Arlindo L. Oliveira Implicit FSM decomposition applied to low-power design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Priyank Kalla, Maciej J. Ciesielski A comprehensive approach to the partial scan problem using implicitstate enumeration. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11J. Jenny Li, W. Eric Wong Automatic Test Generation from Communicating Extended Finite State Machine (CEFSM)-Based Models. Search on Bibsonomy Symposium on Object-Oriented Real-Time Distributed Computing The full citation details ... 2002 DBLP  DOI  BibTeX  RDF CEFSM, specification, test generation, SDL
11Tim Menzies, David Owen 0002, Bojan Cukic You Seem Friendly, But Can I Trust You? Search on Bibsonomy FAABS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Toshinori Hosokawa, Hiroshi Date, Michiaki Muraoka A State Reduction Method for Non-Scan Based FSM Testing with Don't Care Inputs Identification Technique. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Gustavo Sutter, Elias Todorovich, Sergio López-Buedo, Eduardo I. Boemo FSM Decomposition for Low Power in FPGA. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Wolfgang Grieskamp, Yuri Gurevich, Wolfram Schulte, Margus Veanes Generating finite state machines from abstract state machines. Search on Bibsonomy ISSTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF finite state machine, test case generation, FSM, abstract state machine, executable specification, ASM
11John Whaley, Michael C. Martin, Monica S. Lam Automatic extraction of object-oriented component interfaces. Search on Bibsonomy ISSTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Henry Selvaraj, Mariusz Rawski, Tadeusz Luba FSM Implementation in Embedded Memory Blocks of Programmable Logic Devices Using Functional Decomposition. Search on Bibsonomy ITCC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF programmable read only memory, Boolean functions, implementation, digital circuits, sequential machines, logic minimization
11Pranav Ashar, Aarti Gupta, Sharad Malik Using complete-1-distinguishability for FSM equivalence checking. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Bisimulation relation, complete-1-distinguishability, finite state machine equivalence, sequential logic synthesis, equivalence checking
11Jerry R. Burch, Roberto Passerone, Alberto L. Sangiovanni-Vincentelli Overcoming Heterophobia: Modeling Concurrency in Heterogeneous Systems. Search on Bibsonomy ACSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Michael Affenzeller, Franz Pichler, Rudolf Mittelmann On CAST.FSM Computation of Hierarchical Multi-layer Networks of Automata. Search on Bibsonomy EUROCAST The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Chien-Nan Jimmy Liu, Chia-Chih Yen, Jing-Yang Jou Automatic Functional Vector Generation Using the Interacting FSM Model. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Diana Marculescu, Radu Marculescu, Massoud Pedram Theoretical bounds for switching activity analysis in finite-state machines. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Satoshi Ohtake, Toshimitsu Masuzawa, Hideo Fujiwara A Non-Scan Approach to DFT for Controllers Achieving 100% Fault Efficiency. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF non-scan design for testability, complete fault efficiency, controllers, at-speed test
11Alexandre Petrenko Fault Model-Driven Test Derivation from Finite State Models: Annotated Bibliography. Search on Bibsonomy MOVEP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Franco Fummi, Donatella Sciuto, Micaela Serra Synthesis for Testability of Highly Complex Controllers by Functional Redundancy Removal. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF sequential circuits, functional testing, Synthesis for testability, logic minimization, redundant faults, redundancies removal
11Valeri Solovjev Refined CPLD Macrocell Architecture for the Effective FSM Implementation. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Chien-Nan Jimmy Liu, Jing-Yang Jou An Efficient Functional Coverage Test for HDL Descriptions at RTL. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF verification, coverage, FSM, HDL
11Imtiaz Ahmad, Raza Ul-Mustafa On State Assignment of Finite State Machines Using Hypercube Embedding Approach. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF hypercube embedding, gradient projection method, Kernighan Lin algorithm, finite state machine, state assignment
11Oskar Mencer, Marco Platzner Dynamic Circuit Generation for Boolean Satisfiability in an Object-Oriented Design Environment. Search on Bibsonomy HICSS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Peter Bodorik, Dawn N. Jutla, A. Agarwal Recoverable Virtual Memory through the Multi-View Memory Computer System. Search on Bibsonomy HICSS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Recoverable Virtual Memory, Multi-view Virtual Memory, Protection Architecture, Architectural Support for Operating Systems, Access Control
11Michael Nicolaidis, Yervant Zorian On-Line Testing for VLSI - A Compendium of Approaches. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF fail-safe circuits, SEU hardened circuits, monitoring of reliability indicators, thermal monitors, radiation monitors, on-line testing, self-checking circuits, current monitors
11Gianpiero Cabodi, Paolo Camurati, Stefano Quer Auxiliary variables for BDD-based representation and manipulation of Boolean functions. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF finite state machines, binary decision diagrams, reachability analysis, functional decompositions
11Udo Brockmeyer, Gunnar Wittich Real-Time Verification of Statemate Designs. Search on Bibsonomy CAV The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11Olivier Coudert A New Paradigm for Dichotomy-based Constrained Encoding. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF constrained state encoding, twin graph coloring, dichotomy
11Samary Baranov CAD System for ASM and FSM Synthesis. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11Bilung Lee, Edward A. Lee Hierarchical Concurrent Finite State Machines in Ptolemy. Search on Bibsonomy ACSD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF concurrency, finite state machine, heterogeneity, hierarchy
11Diana Marculescu, Radu Marculescu, Massoud Pedram Theoretical bounds for switching activity analysis in finite-state machines. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11Igor Lemberski Modified Approach to Automata State Encoding for LUT FPGA Implementation. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11Denis Hommais, Frédéric Pétrot Efficient Combinational Loops Handling for Cycle Precise Simulation of System on a Chip. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11José C. Monteiro 0001, Arlindo L. Oliveira Finite State Machine Decomposition For Low Power. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF system-on-chip test, testing embedded core, intellectual property test
11Ajay J. Daga, William P. Birmingham Interface finite-state machines: definition, minimization, and decomposition. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
11Wayne H. Wolf Redundancy Removal during High-Level Synthesis Using Scheduling Don't-Cares. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF scheduling dont-care, high-level synthesis, redundancy
11Thorsten Grötker, Rainer Schoenen, Heinrich Meyr PCC: a modeling technique for mixed control/data flow systems. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
11Prasoon Surti, Liang-Fang Chao, Akhilesh Tyagi Low power FSM design using Huffman-style encoding. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
11J. W. J. M. Rutten, Michel R. C. M. Berkelaar Improved State Assignment for Burst Mode Finite State Machines. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF finite state machines, state assignment, asynchronous logic
11René Klösch, Johannes Weidl, Georg Trausmuth, Harald C. Gall Facilitating Program Comprehension via Generic Components for State Machines. Search on Bibsonomy WPC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
11Reinhard Gerndt, Rolf Ernst An Event-Driven Multi-Threading Architecture for Embedded Systems. Search on Bibsonomy CODES The full citation details ... 1997 DBLP  DOI  BibTeX  RDF multi-threading architecture, embedded system, hardware/software-codesign, event-flow
11Luca P. Carloni, Patrick C. McGeer, Alexander Saldanha, Alberto L. Sangiovanni-Vincentelli Trace driven logic synthesis - application to power minimization. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Low Power, Logic Synthesis
11Frédéric Pétrot, Denis Hommais, Alain Greiner Cycle precise core based hardware/software system simulation with predictable event propagation. Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF hardware software system simulation, predictable event propagation, cycle precise core based system simulator, digital embedded systems, Mealy signals, combinational signals, MIPS R3000, microprocessor core, PI-Bus, Pentium 120, communication, graph, C, high level synthesis, memories, directed graph, FSM, topological sort, compile-time, communicating finite state machines
11Franco Fummi, Mariagiovanna Sami, F. Tartarini Use of Statecharts-Related Description to Achieve Testable Design of Control Subsystems. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
11Raj S. Mitra, Bishnupriya Bhattacharya, Luciano Lavagno Asynchronous Implementation of Synchronous Esterel Specifications. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
11Diana Marculescu, Radu Marculescu, Massoud Pedram Sequence Compaction for Probabilistic Analysis of Finite-State Machines. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
11Raj S. Mitra, Partha S. Roop, Anupam Basu A new algorithm for implementation of design functions by available devices. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
11Rubin A. Parekhji, G. Venkatesh 0001, Sunil D. Sherlekar Monitoring machine based synthesis technique for concurrent error detection in finite state machines. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF monitoring machines, finite state machine synthesis, concurrent error detection
11Enrique Alba 0001, José M. Troya Genetic Algorithms for Protocol Validation. Search on Bibsonomy PPSN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
11Pranav Ashar, Aarti Gupta, Sharad Malik Using complete-1-distinguishability for FSM equivalence checking. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF sequential logic synthesis and verification, finite state machine equivalence, bisimulation relation, 1-distinguishability, 1-equivalence, formal verification
11Olivier Coudert, C.-J. Richard Shi Exact Dichotomy-based Constrained Encodi. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Sequential logic synthesis, constrained state encoding, clique partition, set covering, dichotomy, ZBDD
11Ramin Hojati, Sriram C. Krishnan, Robert K. Brayton Early Quantification and Partitioned Transition Relations. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
11Franco Fummi, Donatella Sciuto, M. Serro Synthesis for testability of large complexity controllers. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF large complexity controllers, industrial design environments, top-down methodology, hierarchical descriptions, irredundant circuits, optimized gate-level descriptions, testable descriptions, specification, high level synthesis, finite state machines, finite state machines, design for testability, design for testability, VHDL, automatic testing, logic CAD, hardware description languages, FSM, synthesis for testability, logic gates
11Bhed Bahadur Bista, Atsushi Togashi, Norio Shiratori Extension of protocol synthesis to structured entities. Search on Bibsonomy ICNP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
11Chunduri Rama Mohan, Partha Pratim Chakrabarti Combined optimization of area and testability during state assignment of PLA-based FSM's. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF combined optimization, testability optimisation, PLA-based FSM, EARTH algorithm, single cross-point faults, redundancy checker, fault diagnosis, logic testing, redundancy, finite state machines, integrated circuit testing, design for testability, fault model, logic CAD, programmable logic arrays, circuit layout CAD, circuit optimisation, integrated circuit layout, state assignment, state assignment, minimisation of switching nets, single stuck-at faults, area minimization
11Chuan-Jin Shi, Janusz A. Brzozswski An efficient algorithm for constrained encoding and its applications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
11Hyunwoo Cho, Gary D. Hachtel, Fabio Somenzi Redundancy identification/removal and test generation for sequential circuits using implicit state enumeration. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
11Ruchir Puri, Jun Gu An efficient algorithm to search for minimal closed covers in sequential machines. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
11Asawaree Kalavade, Edward A. Lee A Hardware-Software Codesign Methodology for DSP Applications. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
11Pranav Ashar, Srinivas Devadas, A. Richard Newton Optimum and heuristic algorithms for an approach to finite state machine decomposition. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
11Abhijit Ghosh, Srinivas Devadas, A. Richard Newton Test generation and verification for highly sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
11Doron Drusinsky-Yoresh A state assignment procedure for single-block implementation of state charts. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
11Xuejun Du, Gary D. Hachtel, Bill Lin 0001, A. Richard Newton MUSE: a multilevel symbolic encoding algorithm for state assignment. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
11Srinivas Devadas, Kurt Keutzer A unified approach to the synthesis of fully testable sequential machines. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
11Seiyang Yang, Maciej J. Ciesielski Optimum and suboptimum algorithms for input encoding and its relationship to logic minimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
11Pranav Ashar, Srinivas Devadas, A. Richard Newton Irredundant interacting sequential machines via optimal logic synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
11Thomas Müller-Wipperfürth Linking CAST.FSM to Practical Applications. Search on Bibsonomy EUROCAST The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
11Martin Geiger CAST.FSM Applied to VLSI Synthesis: Experimental Results and Requirements for Industrial Use. Search on Bibsonomy EUROCAST The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
11David Binger, David Knapp Automatic synthesis of a dual-PLA controller with a counter. Search on Bibsonomy MICRO The full citation details ... 1990 DBLP  BibTeX  RDF
11Wayne H. Wolf The FSM Network Model for Behavioral Synthesis of Control-Dominated Machines. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
11W. Y. L. Chan, C. T. Vuong, M. R. Otp An Improved Protocol Test Generation Procedure Based on UIOS. Search on Bibsonomy SIGCOMM The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
11Maria C. Yuang, Aaron Kershenbaum Parallel Protocol Verification: The Two-Phase Algorithm and Complexity Analysis. Search on Bibsonomy Automatic Verification Methods for Finite State Systems The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
11Pierre G. Paulin Horizontal Partitioning of PLA-based Finite State Machines. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
11Sanjiv Ahuja On communication software testing (abstract only). Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
11Rainer Brück 0001, Bernd Kleinjohann, Thomas Kathöfer, Franz J. Rammig Synthesis of concurrent modular controllers from algorithmic descriptions. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #379 of 379 (100 per page; Change: )
Pages: [<<][1][2][3][4]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license