The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for cache with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-1978 (15) 1979-1981 (20) 1982-1983 (21) 1984-1985 (28) 1986 (23) 1987 (35) 1988 (61) 1989 (73) 1990 (96) 1991 (85) 1992 (81) 1993 (130) 1994 (154) 1995 (188) 1996 (193) 1997 (259) 1998 (253) 1999 (352) 2000 (385) 2001 (377) 2002 (510) 2003 (560) 2004 (726) 2005 (744) 2006 (745) 2007 (796) 2008 (715) 2009 (674) 2010 (441) 2011 (398) 2012 (414) 2013 (427) 2014 (471) 2015 (502) 2016 (590) 2017 (657) 2018 (688) 2019 (648) 2020 (562) 2021 (514) 2022 (475) 2023 (474) 2024 (106)
Publication types (Num. hits)
article(4878) book(11) data(2) incollection(53) inproceedings(10541) phdthesis(180) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(720) ISCA(311) IEEE Trans. Computers(298) MICRO(222) HPCA(220) ICCD(208) DATE(202) IPDPS(182) IEEE Trans. Parallel Distribut...(169) ICS(130) IEEE Access(130) DAC(125) PACT(123) ICPP(122) IEEE Trans. Very Large Scale I...(118) ASPLOS(113) More (+10 of total 2245)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 9462 occurrences of 2787 keywords

Results
Found 15666 publication records. Showing 15666 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
42Yen-Jen Chang, Feipei Lai, Shanq-Jang Ruan Cache Design for Eliminating the Address Translation Bottleneck and Reducing the Tag Area Cost. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
42Ismail Kadayif, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, J. Ramanujam Morphable Cache Architectures: Potential Benefits. Search on Bibsonomy LCTES/OM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
42Athena Vakali LRU-based Algorithms for Web Cache Replacement. Search on Bibsonomy EC-Web The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
42Arun Iyengar Design and performance of a general-purpose software cache. Search on Bibsonomy IPCCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
42Balachander Krishnamurthy, Craig E. Wills Proxy Cache Coherency and Replacement - Towards a More Complete Picture. Search on Bibsonomy ICDCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
42Uming Ko, Poras T. Balsara, Ashwini K. Nanda Energy optimization of multilevel cache architectures for RISC and CISC processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
42Harold S. Stone, Dominique Thiébaut Footprints in the Cache. Search on Bibsonomy SIGMETRICS The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
42Mohsen Sharifi, Behrouz Zolfaghari YAARC: yet another approach to further reducing the rate of conflict misses. Search on Bibsonomy J. Supercomput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Skewed associative cache, YAARC cache, Hit rate, Cache, Conflict misses
42Andreas Moshovos, Gurindar S. Sohi Streamlining Inter-Operation Memory Communication via Data Dependence Prediction. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF DEF-store-load-USE chains, address disambiguation, data cache access, data cache bandwidth requirements, data dependence prediction, inter-operation memory communication, memory dependences, memory hierarchy design, transient value cache, storage management, memory architecture, communication latency, instruction window, address calculation
42Alok Madhukar, Tansel Özyer, Reda Alhajj Dynamic cache invalidation scheme for wireless mobile environments. Search on Bibsonomy Wirel. Networks The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Cache invalidation scheme, Mobile computing, Communication, Mobile databases, Invalidation report
42Yu Liu 0037, Wei Zhang 0002 Exploiting stack distance to estimate worst-case data cache performance. Search on Bibsonomy SAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF stack distance, cache, timing analysis, worst-case execution time
42Lingxiang Xiang, Tianzhou Chen, Qingsong Shi, Wei Hu 0001 Less reused filter: improving l2 cache performance via filtering less reused lines. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cache filtering, less reused line
42Weili Li, Lixin Yu Efficient line buffer instruction cache scheme with prefetch. Search on Bibsonomy ICIS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF line buffer, cache, prefetch
42Tong Chen 0001, Tao Zhang, Zehra Sura, Marc González Tallada Prefetching irregular references for software cache on cell. Search on Bibsonomy CGO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF prefetch, DMA, software cache
42S. Subha A Set Associative Cache Model with Energy Saving. Search on Bibsonomy ITNG The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Cache, Energy Savings
42Guangming Tan, Shengzhong Feng, Ninghui Sun Cache oblivious algorithms for nonserial polyadic programming. Search on Bibsonomy J. Supercomput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Nonserial polyadic, Dynamic programming, Data dependencies, Cache oblivious, Algorithmic transformation
42Sangyeun Cho I-cache multi-banking and vertical interleaving. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF memory sub-banking, cache memory, power density
42Xin Yu Distributed Cache Updating for the Dynamic Source Routing Protocol. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF distributed cache updating, mobility, Mobile ad hoc networks, on-demand routing protocols
42Lisa R. Hsu, Steven K. Reinhardt, Ravishankar R. Iyer 0001, Srihari Makineni Communist, utilitarian, and capitalist cache policies on CMPs: caches as a shared resource. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF performance, cache, multiprocessor, partitioning
42Won-Sik Kim, Woong-Kee Loh, Wook-Shin Han Performance Analysis of the Cache Conscious-Generalized Search Tree. Search on Bibsonomy International Conference on Computational Science (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF cache conscious tree, generalized search tree, pointer compression, key compression
42Chuanjun Zhang, Frank Vahid, Roman L. Lysecky A self-tuning cache architecture for embedded systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF on-chip CAD, embedded systems, low power, Cache, configurable, dynamic optimization, low energy, architecture tuning
42Chuanjun Zhang, Frank Vahid, Roman L. Lysecky A Self-Tuning Cache Architecture for Embedded Systems. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF on-chip CAD, embedded systems, low power, Cache, configurable, dynamic optimization, low energy, architecture tuning
42Hussein Al-Zoubi, Aleksandar Milenkovic, Milena Milenkovic Performance evaluation of cache replacement policies for the SPEC CPU2000 benchmark suite. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF performance evaluation, cache memory, replacement policy
42Bramha Allu, Wei Zhang 0002 Static next sub-bank prediction for drowsy instruction cache. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF compiler, instruction cache, leakage energy
42Guy E. Blelloch, Phillip B. Gibbons Effectively sharing a cache among threads. Search on Bibsonomy SPAA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF chip multiprocessors, scheduling algorithms, shared cache, multithreaded architectures
42Sang-Hwa Chung, Soo-Cheol Oh An SCI-Based PC Cluster Utilizing Coherent Network Cache. Search on Bibsonomy Clust. Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF network cache, cluster system, CC-NUMA, SCI
42Richard A. Hankins, Jignesh M. Patel Effect of node size on the performance of cache-conscious B+-trees. Search on Bibsonomy SIGMETRICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF cache-conscious, index, B+-tree
42Kim M. Hazelwood, Michael D. Smith 0001 Code Cache Management Schemes for Dynamic Optimizers. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Dynamo, Dynamic Optimization, Dynamic Compilation, Cache Management
42Jih-Kwon Peir, Shih-Chang Lai, Shih-Lien Lu, Jared Stark, Konrad Lai Bloom filtering cache misses for accurate data speculation and prefetching. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF bloom filter, instruction scheduling, data cache, data prefetching, data speculation
42Michael Zhang, Krste Asanovic Fine-grain CAM-tag cache resizing using miss tags. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF cache resizing, low-power, energy efficiency, leakage current, content-addressable-memory
42Pedro Trancoso, Josep Torrellas Cache Optimization for Memory-Resident Decision Support Commercial Workloads. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Memory-Resident, Data Blocking, Database, Decision Support System, Computer Architecture, Prefetching, Cache Optimization
42Mohammad Shihabul Haque, Andhi Janapsatya, Sri Parameswaran SuSeSim: a fast simulation strategy to find optimal L1 cache configuration for embedded systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF miss rate, simulation, LRU, cache simulation, L1 cache
42Wenzhong Li, Edward Chan, Yilin Wang, Daoxu Chen Cache Invalidation Strategies for Mobile Ad Hoc Networks. Search on Bibsonomy ICPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Cache invalidation strategies, Mobile computing, Mobile ad hoc network, Performance analysis, Cache consistency
42Bingsheng He, Qiong Luo 0001, Byron Choi Cache-Conscious Automata for XML Filtering. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Cache-conscious, XML filtering, cache behavior model, query processing, automata, buffer
42Sung Woo Chung, Kevin Skadron Using Branch Prediction Information for Near-Optimal I-Cache Leakage. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Low Power, Branch Prediction, Leakage, Instruction Cache, Drowsy Cache
42Janis Sermulins, William Thies, Rodric M. Rabbah, Saman P. Amarasinghe Cache aware optimization of stream programs. Search on Bibsonomy LCTES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cache, embedded, fusion, cache optimizations, synchronous dataflow, stream programing, StreamIt
42Joon-Sang Park, Michael Penner, Viktor K. Prasanna Optimizing Graph Algorithms for Improved Cache Performance. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Cache-friendly algorithms, shortest path, graph algorithms, minimum spanning trees, graph matching, algorithm performance, cache-oblivious algorithms, data layout optimizations
42Jianliang Xu, Qinglong Hu, Wang-Chien Lee, Dik Lun Lee Performance Evaluation of an Optimal Cache Replacement Policy for Wireless Data Dissemination. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF wireless data dissemination, mobile computing, performance analysis, data management, Cache replacement, cache consistency
42Yuliang Bao, Reda Alhajj, Ken Barker 0001 Hybrid Cache Invalidation Schemes in Mobile Environments. Search on Bibsonomy ICPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cache invalidation report, mobile computing, wireless communication, cache consistency
42Todd C. Mowry, Chi-Keung Luk Understanding Why Correlation Profiling Improves the Predictability of Data Cache Misses in Nonnumeric Applications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF cache miss prediction, correlation-based profiling, Cache performance
42Chi-Hung Chi, Jun-Li Yuan Load-Balancing Branch Target Cache and Prefetch Buffer. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF branch target cache, load-balancing, Memory, prefetching, instruction cache
42Zheng Zhang 0001, Josep Torrellas Reducing Remote Conflict Misses: NUMA with Remote Cache versus COMA. Search on Bibsonomy HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF remote conflict miss, NUMA with Remote Cache, shared-memory multiprocessor, cache coherent, COMA
42Brad Calder, Dirk Grunwald, Joel S. Emer Predictive Sequential Associative Cache. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF predictive sequential associative cache, miss rate, prediction sources, storage management, memory architecture, content-addressable storage, access time, direct-mapped cache, access latency
42Yuguang Wu, Richard R. Muntz A New Cache Directory Scheme. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF cache directories, interconnection networks, shared-memory multiprocessors, cache coherence, scalable architectures
42Mark Brehob, Stephen Wagner, Eric Torng, Richard J. Enbody Optimal Replacement Is NP-Hard for Nonstandard Caches. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF optimal cache replacement policy, interval scheduling, skew cache, multilateral cache, approximation algorithm, Cache, victim cache
42G. Edward Suh, Srinivas Devadas, Larry Rudolph Analytical cache models with applications to cache partitioning. Search on Bibsonomy ICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
42Jih-Kwon Peir, Windsor W. Hsu, Alan Jay Smith Functional Implementation Techniques for CPU Cache Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF cache access mechanism, cache area and bandwidth, Cache memory, address translation
42Jonas Skeppstedt, Michel Dubois 0001 Hybrid compiler/hardware prefetching for multiprocessors using low-overhead cache miss traps. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF hybrid compiler/hardware prefetching, low-overhead cache miss traps, data prefetching technique, cache coherent multiprocessors, cache miss traps, trap handler, simulated multiprocessor, compiler, multiprocessors, multiprocessing systems
42Basem A. Nayfeh, Kunle Olukotun, Jaswinder Pal Singh The Impact of Shared-Cache Clustering in Small-Scale Shared-Memory Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF shared-cache clustering, small-scale shared-memory multiprocessors, shared global bus, low-latency interconnections, performance evaluation, shared memory systems, cache storage, memory system, multichip module, L2 cache, processor performance, high-bandwidth, bus contention
42Aviral Shrivastava, Ilya Issenin, Nikil D. Dutt Compilation techniques for energy reduction in horizontally partitioned cache architectures. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF XScale, horizontally-partitioned cache, mini-cache, split cache, compiler, energy, data cache
39Anand Murugappan, Ling Liu 0001 A SpatioTemporal Placement Model for Caching Location Dependent Queries. Search on Bibsonomy MobiQuitous The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
39Moinuddin K. Qureshi, Aamer Jaleel, Yale N. Patt, Simon C. Steely Jr., Joel S. Emer Adaptive insertion policies for high performance caching. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF set dueling, set sampling, thrashing, replacement
39Soong Hyun Shin, Sung Woo Chung, Chu Shik Jhon On the Reliability of Drowsy Instruction Caches. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Enric Gibert, Jaume Abella 0001, F. Jesús Sánchez, Xavier Vera, Antonio González 0001 Variable-Based Multi-module Data Caches for Clustered VLIW Processors. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39Cristiana Amza, Gokul Soundararajan, Emmanuel Cecchet Transparent caching with strong consistency in dynamic content web sites. Search on Bibsonomy ICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39Zhao Zhang 0010, Zhichun Zhu, Xiaodong Zhang 0001 Design and Optimization of Large Size and Low Overhead Off-Chip Caches. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
39Prasad Deshpande, Jeffrey F. Naughton Aggregate Aware Caching for Multi-Dimensional Queries. Search on Bibsonomy EDBT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
39Steve Fu Memory Hierarchy Synthesis of a Multimedia Embedded Processor. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
39Chuanjun Zhang, Bing Xue Divide-and-conquer: a bubble replacement for low level caches. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF high-performance computing, divide-and-conquer, cache replacement policy
39Susmit Biswas, Diana Franklin, Alan Savage, Ryan Dixon, Timothy Sherwood, Frederic T. Chong Multi-execution: multicore caching for data-similar executions. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF data similar execution, multicore cache design, cmp
39Tong Chen, Haibo Lin, Tao Zhang Orchestrating data transfer for the cell/B.E. processor. Search on Bibsonomy ICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF software controlled cache, multi-core system, memory coherence
39Nikola Vujic, Marc González 0001, Xavier Martorell, Eduard Ayguadé Automatic Pre-Fetch and Modulo Scheduling Transformations for the Cell BE Architecture. Search on Bibsonomy LCPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Cell BE Architecture, Modulo Scheduling, Pre-fetching, Software Cache
39Rajaa S. Shindi, Shaun Cooper Evaluate the performance changes of processor simulator benchmarks When context switches are incorporated. Search on Bibsonomy SIGAda The full citation details ... 2006 DBLP  DOI  BibTeX  RDF sim-alpha, cache, cpu, context switches, processor simulators
39Samuel Rodríguez, Bruce L. Jacob Energy/power breakdown of pipelined nanometer caches (90nm/65nm/45nm/32nm). Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF nanometer design, pipelined caches, cache design
39Ravi R. Iyer 0001 CQoS: a framework for enabling QoS in shared caches of CMP platforms. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF QoS, performance, cache, partitioning, CMP, sharing
39Arijit Ghosh, Tony Givargis Analytical Design Space Exploration of Caches for Embedded Systems. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Design Space Exploration, System-on-a-Chip, Cache Optimization, Core-Based Design
39Yun Liang 0001, Tulika Mitra Static analysis for fast and accurate design space exploration of caches. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF probabilistic cache states, cache, design space exploration
39Yuying Wang, Xingshe Zhou 0001 Mutual Effect of Instruction Layout Optimization and Instruction Memory Hierarchy. Search on Bibsonomy ICPP Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Instruction Layout Optimization, Instruction Cache Miss Rate, Cache Memory Hierarchy
39Keizo Saisho, Takeshi Sano, Keniti Iwata, Akira Fukuda The Architecture of OCMP and its Evaluation. Search on Bibsonomy ISPAN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF on chip multiprocessor, instruction level dispatch, fork-join type parallel processing, evaluation using simulation, shared cache, private cache
39Christine Fricker, Olivier Temam, William Jalby Influence of Cross-Interferences on Blocked Loops: A Case Study with Matric-Vector Multiply Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF cache conflicts (interferences), data locality optimization, blocking, cache performance, numerical codes
39Xiaoxuan Meng, Chengxiang Si, Wenwu Na, Haroon-Ur-Rashid Khan, Lu Xu 0001 A Flexible Two-Layer Buffer Caching Scheme for Shared Storage Cache. Search on Bibsonomy HPCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
39Guy E. Blelloch, Rezaul Alam Chowdhury, Phillip B. Gibbons, Vijaya Ramachandran, Shimin Chen, Michael Kozuch Provably good multicore cache performance for divide-and-conquer algorithms. Search on Bibsonomy SODA The full citation details ... 2008 DBLP  BibTeX  RDF
39Ronald G. Dreslinski, Gregory K. Chen, Trevor N. Mudge, David T. Blaauw, Dennis Sylvester, Krisztián Flautner Reconfigurable energy efficient near threshold cache architectures. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
39Song Hao, Zhihui Du, David A. Bader, Man Wang A Prediction Based CMP Cache Migration Policy. Search on Bibsonomy HPCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
39Ezequiel Herruzo, Emilio L. Zapata, Oscar G. Plata Maximum and Sorted Cache Occupation Using Array Padding. Search on Bibsonomy ICSAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
39Ann Gordon-Ross, Pablo Viana, Frank Vahid, Walid A. Najjar, Edna Barros A one-shot configurable-cache tuner for improved energy and performance. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
39Kaushik Rajan, Ramaswamy Govindarajan Emulating Optimal Replacement with a Shepherd Cache. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
39Haakon Dybdahl, Per Stenström An Adaptive Shared/Private NUCA Cache Partitioning Scheme for Chip Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
39John Shield, Peter Sutton, Philip Machanick Dynamic Cache Switching in Reconfigurable Embedded Systems. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
39Prasanna Palsodkar, Amol Y. Deshmukh, Preeti R. Bajaj, Avinash G. Keskar An Approach for Four Way Set Associative Multilevel CMOS Cache Memory. Search on Bibsonomy KES (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
39Kris Tiri, Onur Aciiçmez, Michael Neve, Flemming Andersen An Analytical Model for Time-Driven Cache Attacks. Search on Bibsonomy FSE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
39Vimal K. Reddy, Richard K. Sawyer, Edward F. Gehringer A Cache-Pinning Strategy for Improving Generational Garbage Collection. Search on Bibsonomy HiPC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Xuhui Liu, Nan Wang, Guozhong Sun, Jizhong Han, Lisheng Zhang, Chengde Han Remote iSCSI Cache on InfiniBand: An Approach to Optimize iSCSI System. Search on Bibsonomy ICPP Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Lakshmish Ramaswamy, Ling Liu 0001, Jianjun Zhang 0001 Efficient Formation of Edge Cache Groups for Dynamic Content Delivery. Search on Bibsonomy ICDCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Rana Ejaz Ahmed Energy-Aware Cache Coherence Protocol for Chip-Multiprocessors. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Moinuddin K. Qureshi, Daniel N. Lynch, Onur Mutlu, Yale N. Patt A Case for MLP-Aware Cache Replacement. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Li Ou, Xubin (Ben) He, Martha J. Kosa, Stephen L. Scott A Unified Multiple-Level Cache for High Performance Storage Systems. Search on Bibsonomy MASCOTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39Zhiyong Xu, Yiming Hu The design and implementation of RAPID-cache for Linux. Search on Bibsonomy IPCCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39Yan Solihin, Fei Guo, Seongbeom Kim Predicting Cache Space Contention in Utility Computing Servers. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39Cheol Kim, Sung Chung, Chu Shik Jhon An Innovative Instruction Cache for Embedded Processors. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39Pierfrancesco Foglia, Daniele Mangano, Cosimo Antonio Prete A NUCA Model for Embedded Systems Cache Design. Search on Bibsonomy ESTIMedia The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39Zhiwen Xu, Xiaoxin Guo, Yunjie Pang, Zhengxuan Wang The Dynamic Cache-Multicast Algorithm for Streaming Media. Search on Bibsonomy ECUMN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
39Rong Xu, Zhiyuan Li 0001 Using cache mapping to improve memory performance handheld devices. Search on Bibsonomy ISPASS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
39D. Tsifakis, Alistair P. Rendell, Peter E. Strazdins Cache Oblivious Matrix Transposition: Simulation and Experiment. Search on Bibsonomy International Conference on Computational Science The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
39Chun-Ho Kim, Lee-Sup Kim Adaptive Selection of an Index in a Texture Cache. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
39Theo Härder, Andreas Bühmann Database Caching - Towards a Cost Model for Populating Cache Groups. Search on Bibsonomy ADBIS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
39Jung-Hoon Lee, Gi-Ho Park, Shin-Dug Kim An Adaptive Multi-Module Cache with Hardware Prefetching Mechanism for Multimedia Applications. Search on Bibsonomy PDP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
39Myungsun Park, Sukho Lee Optimizing Both Cache and Disk Performance of R-Trees. Search on Bibsonomy DEXA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
39Maria Grigoriadou, Maria Toula, Evangelos Kanidis Design and Evaluation of a Cache Memory Simulation Program. Search on Bibsonomy ICALT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
39Kristof Beyls, Erik H. D'Hollander Reuse Distance-Based Cache Hint Selection. Search on Bibsonomy Euro-Par The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 15666 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license