The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for cells with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1968 (15) 1969-1976 (17) 1977-1978 (15) 1979-1981 (24) 1982-1983 (23) 1984-1985 (31) 1986 (22) 1987 (28) 1988 (55) 1989 (31) 1990 (65) 1991 (60) 1992 (66) 1993 (72) 1994 (82) 1995 (120) 1996 (120) 1997 (148) 1998 (151) 1999 (215) 2000 (262) 2001 (274) 2002 (325) 2003 (382) 2004 (529) 2005 (655) 2006 (803) 2007 (782) 2008 (847) 2009 (534) 2010 (325) 2011 (315) 2012 (390) 2013 (435) 2014 (500) 2015 (550) 2016 (488) 2017 (501) 2018 (542) 2019 (585) 2020 (565) 2021 (534) 2022 (504) 2023 (482) 2024 (77)
Publication types (Num. hits)
article(5487) book(8) data(6) incollection(54) inproceedings(7837) phdthesis(152) proceedings(2)
Venues (Conferences, Journals, ...)
CoRR(410) PLoS Comput. Biol.(244) EMBC(215) Sensors(204) IEEE Trans. Comput. Aided Des....(198) IEEE Access(185) ISCAS(161) DAC(160) ISBI(150) J. Comput. Neurosci.(112) IEEE Trans. Very Large Scale I...(111) Biol. Cybern.(99) VTC Spring(95) ICRA(92) DATE(83) IROS(81) More (+10 of total 2363)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 5150 occurrences of 2657 keywords

Results
Found 13546 publication records. Showing 13546 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
20Mohammed ElShoukry, Mohammad Tehranipoor, C. P. Ravikumar A critical-path-aware partial gating approach for test power reduction. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF partial gating, scan cell gating, Low-power testing, scan testing
20Gianni Medoro, Roberto Guerrieri, Nicolò Manaresi, Claudio Nastruzzi, Roberto Gambari Lab on a Chip for Live-Cell Manipulation. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dielectrophoresis, cell manipulation, nanoparticles, lab on a chip
20Mehdi Baba-ali, David Marcheix, Xavier Skapin, Yves Bertrand Generic computation of bulletin boards into geometric kernels. Search on Bibsonomy Afrigraph The full citation details ... 2007 DBLP  DOI  BibTeX  RDF event follow-up mechanisms, topological entity modification, bulletin board, generalized maps
20M. Thenappan, Arasu T. Senthil, K. M. Sreekanth, Ramesh S. Guzar An Overlap Removal Algorithm for Macrocell Placement in VLSI Layouts. Search on Bibsonomy ICCTA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Lelin Zhang, Hongkai Xiong, Kai Zhang, Xiaobo Zhou 0001 Graph Theory Application in Cell Nuleus Segmentation, Tracking and Identification. Search on Bibsonomy BIBE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Ronnie Alves, Orlando Belo, Joel Ribeiro Mining Top-K Multidimensional Gradients. Search on Bibsonomy DaWaK The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Lingxi Peng, Yinqiao Peng, XiaoJie Liu, Caiming Liu, Jinquan Zeng, Feixian Sun, Zhengtian Lu A Supervised Classifier Based on Artificial Immune System. Search on Bibsonomy International Conference on Computational Science (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF machine learning, artificial immune system, supervised classification
20Xiaobo Zhou 0001, Jian Chen, Jinmin Zhu, Fuhai Li, Xudong Huang, Stephen T. C. Wong Study of CuO Nanoparticle-induced Cell Death by High Content Cellular Fluorescence Imaging and Analysis. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Kanupriya Gulati, Nikhil Jayakumar, Sunil P. Khatri A Structured ASIC Design Approach Using Pass Transistor Logic. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Zhibin Shi, Houkuan Huang A Summary Structure of Data Cube Preserving Semantics. Search on Bibsonomy RSEISP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF semantic, data cube, incremental maintenance
20Adam Ponzi Model of Balance of Excitation and Inhibition in Hippocampal Sharp Wave Replays and Application to Spatial Remapping. Search on Bibsonomy IJCNN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Stefan Ringbauer, Pierre Bayerl, Heiko Neumann Neural Mechanisms for Mid-Level Optical Flow Pattern Detection. Search on Bibsonomy ICANN (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF motion integration, motion gradient, area MT, area MSTd, navigation, feedback, optical flow, Motion perception
20William R. Holmes, José Ambros-Ingerson, Lawrence M. Grover Fitting experimental data to models that use morphological data from public databases. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Parameter search, Cable theory, Database, Morphology, Hippocampus
20Marco Grandis Absolute Lax 2-categories. Search on Bibsonomy Appl. Categorical Struct. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Mathematics Subject Classification (2000) 18D05, 55Pxx
20Fernão Vístulo de Abreu, E. N. M. Nolte 'Hoen, C. R. Almeida, D. M. Davis Cellular Frustration: A New Conceptual Framework for Understanding Cell-Mediated Immune Responses. Search on Bibsonomy ICARIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF self-nonself discrimination, homeostasis, cellular frustration, generalized kinetic proofreading, tolerance
20Lael Odhner, Jun Ueda, H. Harry Asada Feedback Control of Stochastic Cellular Actuators. Search on Bibsonomy ISER The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Vassili Kovalev, Nathalie Harder, Beate Neumann, Michael Held, Urban Liebel, Holger Erfle, Jan Ellenberg, Roland Eils, Karl Rohr Feature Selection for Evaluating Fluorescence Microscopy Images in Genome-Wide Cell Screens. Search on Bibsonomy CVPR (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Geewhun Seok, Il-soo Lee, Tony Ambler, Baxter F. Womack An Efficient Scan Chain Partitioning Scheme with Reduction of Test Data under Routing Constraint. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Mohammed ElShoukry, Mohammad Tehranipoor, C. P. Ravikumar Partial Gating Optimization for Power Reduction During Test Application. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Sairam Subramanian, Ihor Lemischka, Ron Weiss Engineering a 1: 2 Bio-multiplexer for controlled stem cell differentiation. Search on Bibsonomy CSB Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Fuhui Long, Hanchuan Peng, Damir Sudar, David W. Knowles, Sophie Lelièvre Cell Phenotype Classification Based on 3D Cell Image Analysis. Search on Bibsonomy CSB Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Francesco Bernardini, Marian Gheorghe 0001, Natalio Krasnogor, Jean-Louis Giavitto On Self-assembly in Population P Systems. Search on Bibsonomy UC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Kaustubh Chokshi, Stefan Wermter, Christo Panchev, Kevin Burn Image Invariant Robot Navigation Based on Self Organising Neural Place Codes. Search on Bibsonomy Biomimetic Neural Learning for Intelligent Robots The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Kunihiko Fukushima, Kazuya Tohyama Neural Network Model for Extracting Optic Flow. Search on Bibsonomy ICANN (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Bard Ermentrout, Jing W. Wang, Jorge Flores, Alan Gelperin Model for Transition from Waves to Synchrony in the Olfactory Lobe of Limax. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF limax, nitric oxide, gap junctions, oscillations, waves, olfaction
20Richard Cook, Nelson L. Max, Cláudio T. Silva, Peter L. Williams Image-Space Visibility Ordering for Cell Projection Volume Rendering of Unstructured Data. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Volume rendering, unstructured mesh, visibility ordering
20Guozhu Dong, Jiawei Han 0001, Joyce M. W. Lam, Jian Pei, Ke Wang 0001, Wei Zou Mining Constrained Gradients in Large Databases. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Dipti Prasad Mukherjee, Nilanjan Ray, Scott T. Acton Level set analysis for leukocyte detection and tracking. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Alan S. Perelson An Overview of Computational and Theoretical Immunology. Search on Bibsonomy ICARIS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Dan Hillman Using Mobilize Power Management IP for Dynamic & Static Power Reduction in SoC at 130 nm. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Yanchang Zhao, Chengqi Zhang, Yi-Dong Shen Clustering High-Dimensional Data with Low-Order Neighbors. Search on Bibsonomy Web Intelligence The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Riky Subrata, Albert Y. Zomaya Evolving Cellular Automata for Location Management in Mobile Computing Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF genetic algorithms, mobile computing, Cellular automata, mobility management
20Parag K. Lala, B. Kiran Kumar An FPGA architecture with built-in error correction capability. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Zdenek Pohl, Rudolf Matousek, Jiri Kadlec, Milan Tichý, Miroslav Lícko Lattice adaptive filter implementation for FPGA. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Ramesh C. Tekumalla On Reducing Aliasing Effects and Improving Diagnosis of Logic BIST Failures. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Sunil Arya, Theocharis Malamatos, David M. Mount Space-efficient approximate Voronoi diagrams. Search on Bibsonomy STOC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Faizal Arya Samman, Rhiza S. Sadjad Analog MOS circuit design for reconfigurable fuzzy logic controller. Search on Bibsonomy APCCAS (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Myoung-Ah Kang, Christos Faloutsos, Robert Laurini, Sylvie Servigne Indexing Values in Continuous Field Databases. Search on Bibsonomy EDBT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Chih-Yuan Chen, Shing-Wu Tung ELITE Design Methodology of Foundation IP for Improving Synthesis Quality. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Juan-Manuel Moreno Aróstegui, Joan Cabestany, Eduardo Sanchez An In-System Routing Strategy For Evolvable Hardware Programmable Platforms. Search on Bibsonomy Evolvable Hardware The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Kyungsu Kim 0005, Jeonghee Jeon, Wan-Kyoo Choi, Pankoo Kim, Yo-Sung Ho Automatic Cell Classification in Human's Peripheral Blood Images Based on Morphological Image Processing. Search on Bibsonomy Australian Joint Conference on Artificial Intelligence The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Gerard D. Finn Learning Fuzzy Rules from Data. Search on Bibsonomy Neural Comput. Appl. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Peter Kruizinga, Nicolai Petkov Nonlinear operator for oriented texture. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Lusiana Nawawi, Janusz R. Getta, Phillip J. McKerrow nP-Tree: Region Partitioning and Indexing for Efficient Path Planning. Search on Bibsonomy ADBIS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
20Per Larsson-Edefors Technology mapping onto very-high-speed standard CMOS hardware. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
20Zsuzsanna Róka The Firing Squad Synchronization Problem on Cayley Graphs. Search on Bibsonomy MFCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
20Martine D. F. Schlag, Jackson Kong, Pak K. Chan Routability-driven technology mapping for lookup table-based FPGA's. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
20Lih-Der Chang, Pei-Yung Hsiao, Jin-Tai Yan, Paul-Waie Shew A robust over-the-cell channel router. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
20Hans-Rudolf Heeb, Wolfgang Fichtner A module generator based on the PQ-tree algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
20Kjell Bratbergsengen, Rune Larsen 0001, Oddvar Risnes, Terje Aandalen A Neighbor Connected Processor Network for Performing Relational Algebra Operations. Search on Bibsonomy Computer Architecture for Non-Numeric Processing The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
18Clemens Moser, Jian-Jia Chen, Lothar Thiele Optimal service level allocation in environmentally powered embedded systems. Search on Bibsonomy SAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF energy harvesting systems, embedded systems, reward maximization, solar cells
18Weiqi Wang, Yanbo J. Wang, René Bañares-Alcántara, Zhanfeng Cui, Frans Coenen Application of Classification Association Rule Mining for Mammalian Mesenchymal Stem Cell Differentiation. Search on Bibsonomy ICDM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Classification Association Rule Mining, Mesenchymal Stem Cells, Data Mining, Differentiation, Tissue Engineering
18Clemens Moser, Jian-Jia Chen, Lothar Thiele Power management in energy harvesting embedded systems with discrete service levels. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF energy harvesting systems, embedded systems, power management, reward maximization, solar cells
18Orlando Durán, Nibaldo Rodríguez, Luiz Airton Consalter Hybridization of PSO and a Discrete Position Update Scheme Techniques for Manufacturing Cell Design. Search on Bibsonomy MICAI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Manufacturing cells, machine grouping, particle swarm optimization
18Anna Sher, David Abramson 0001, Colin Enticott, Slavisa Garic, David Gavaghan, Denis Noble, Penelope Noble, Tom Peachey Incorporating Local Ca2+ Dynamics into Single Cell Ventricular Models. Search on Bibsonomy ICCS (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Cardiac Cells, Grid Computing, Mathematical modeling, Parameter optimization
18Daniel Bush, Andrew Philippides, Phil Husbands, Michael O'Shea Theta Phase Coding and Acetylcholine Modulation in a Spiking Neural Network. Search on Bibsonomy SAB The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Acetylcholine, attractor network, theta phase coding, cognitive map, spatial memory, Hippocampus, STDP, neuromodulation, place cells
18Fan Yang 0060, Sreejit Chakravarty, Narendra Devta-Prasanna, Sudhakar M. Reddy, Irith Pomeranz On the Detectability of Scan Chain Internal Faults - An Industrial Case Study. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Faults in scan cells, stuck-at and stuck-on faults
18Nagarajan Venkateswaran, Karthik Chandrasekar 0001, Shrikanth Ganapathy Design for Testability of Functional Cores in High Performance Node Architectures. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Integrated Memory and Logic, Memory-in-Logic Cells, Higher Level Functional Units, Performance Consistency, Reliabilty, Heterogenous Multi-Core
18Thiago S. Guzella, Tomaz A. Mota-Santos, Walmir M. Caminhas Towards a Novel Immune Inspired Approach to Temporal Anomaly Detection. Search on Bibsonomy ICARIS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Tunable activation threshold hypothesis, T cells, Temporal anomaly detection, Artificial Immune Systems
18Seongmoon Wang, Wenlong Wei A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF peak current reduction, average power dissipation, clock tree construction, special scan cells, scan chain reordering, ATPG, scan designs
18Or Yogev, Erik K. Antonsson Growth and development of continuous structures. Search on Bibsonomy GECCO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF artificial cells, genetic algorithm, finite elements, stresses, indirect encoding
18Chris Melhuish, Ioannis Ieropoulos, John Greenman, Ian Horsfield Energetically autonomous robots: Food for thought. Search on Bibsonomy Auton. Robots The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Artificial autonomy, Energy autonomy, Pulsed behaviour, Microbial fuel cells, Oxygen cathode
18Gianni Tedesco, Jamie Twycross, Uwe Aickelin Integrating Innate and Adaptive Immunity for Intrusion Detection. Search on Bibsonomy ICARIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Innate Immunity, Intrusion Detection, Dendritic Cells
18Julie Greensmith, Uwe Aickelin, Jamie Twycross Articulation and Clarification of the Dendritic Cell Algorithm. Search on Bibsonomy ICARIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF anomaly detection, artificial immune systems, dendritic cells
18Eric Bittar, Aassif Benassarou, Dominique Ploton, Laurent Lucas Hierarchical Tracking of Intra-Cell Structures in 4D Images. Search on Bibsonomy MediVis The full citation details ... 2006 DBLP  DOI  BibTeX  RDF 4D tracking, live cells, deformable model, visualisation, hierarchical modelling
18Michele Migliore, Michael L. Hines, Gordon M. Shepherd The Role of Distal Dendritic Gap Junctions in Synchronization of Mitral Cell Axonal Output. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF olfactory processing, gap junction, mitral cells, modeling, synchronization
18Dongyong Yang, Jungan Chen The T-detectors maturation algorithm based on match range model. Search on Bibsonomy SAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF T-cells maturation, match range, negative selection
18Iddo Hanniel, Ramanathan Muthuganapathy, Gershon Elber, Myung-Soo Kim Precise Voronoi cell extraction of free-form rational planar closed curves. Search on Bibsonomy Symposium on Solid and Physical Modeling The full citation details ... 2005 DBLP  DOI  BibTeX  RDF free-form boundaries, rational curves, skeleton, medial axis transform, voronoi cells
18Marla D. Curran, Hong Liu, Fan Long, Nanxiang Ge Statistical methods for joint data mining of gene expression and DNA sequence database. Search on Bibsonomy SIGKDD Explor. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF T-helper cells, regulatory motifs, transcription factor binding site (TFBS), modeling, cluster analysis, microarray, logistic regression
18Noha Kafafi, Kimberly A. Bozman, Steven J. E. Wilton Architectures and algorithms for synthesizable embedded programmable logic cores. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF programmable logic cores, FPGA, standard cells, system-on-chip design
18Vladlen Koltun, Micha Sharir Curve-sensitive cuttings. Search on Bibsonomy SCG The full citation details ... 2003 DBLP  DOI  BibTeX  RDF many cells, arrangements, cuttings, curves and surfaces, zone
18Deming Chen, Jason Cong, Milos D. Ercegovac, Zhijun Huang Performance-driven mapping for CPLD architectures. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF PLA-style logic cells, FPGA, technology mapping, CPLD, delay optimization
18Andrew T. Wilson, Ming C. Lin, Boon-Lock Yeo, Minerva M. Yeung, Dinesh Manocha A video-based rendering acceleration algorithm for interactive walkthroughs. Search on Bibsonomy ACM Multimedia The full citation details ... 2000 DBLP  DOI  BibTeX  RDF MPEG video compression, architectural walkthrough, massive models, video-based impostors, virtual cells
18Anthony S. Maida Identifying causal structure in a biological neural network. Search on Bibsonomy ICTAI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF brain models, causal structure identification, biological neural network, BRO model, rodent navigation, phase-modulated place cells, memory-based navigation, hippocampal cell place field acquisition, radial basis functions, neural nets, neurophysiology
18Stiliyan Kalitzin, Bart M. ter Haar Romeny, Max A. Viergever On Topological Deep-Structure Segmentation. Search on Bibsonomy ICIP (2) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF topological deep-structure segmentation, hierarchical segmentation model, linear scale evolution, winding number distribution, singularity catastrophes, singular isophotes, image segmentation, Voronoi diagrams, distance measure, gray-scale images, saddle points, Voronoi cells, catastrophe theory, gradient vector field
18Patrick Vuillod, Luca Benini, Giovanni De Micheli Generalized matching from theory to application. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF MCNC 91 benchmark suite, algorithmic optimization, generalized matching, library cells, multi-output network, post-mapping optimization, unconstrained delay minimization, logic CAD, power minimization, delay constraints, area minimization, Boolean relation
18Peter D. Lauren, N. Nandhakumar Recovering the viewing parameters of random, translated and noisy projections of asymmetric objects. Search on Bibsonomy CVPR The full citation details ... 1996 DBLP  DOI  BibTeX  RDF noisy projections, translated projections, randomly acquired projections, asymmetric objects, common lines algorithm, three-dimensional Fourier space, translational displacement, in vivo images, underwater scenes, radioastronomy, geoseismic analysis, portable radiography, industrial inspection, image reconstruction, Fourier transforms, Fourier transforms, intersection, cells, random projections, viruses, three-dimensional reconstruction, molecules
18Keun-Bae Kim, Hyup Jong Kim Back-Pressure Buffering Scheme to Improve the Cell Loss Property on the Output Buffered ATM Switch. Search on Bibsonomy LCN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF back-pressure buffering, cell loss property, output buffered ATM switch, input cells, push-out mechanism, selective pressure mechanism, small sized memory, asynchronous transfer mode, shared memory, queueing theory, simulation results, computer simulation, performance comparison, FIFO, switch fabric, output buffer
18Muh-ong Yang, GinKou Ma Universal Packet Time Slot - A New Paradigm of Designing an ATM Switch. Search on Bibsonomy LCN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF universal packet time slot, ATM switch design, high speed packet switching, transmission speed, lightwave technology, electronic switching device, FDM, frequency division multiplexing, bit-sliced techniques, output contention, ATM cells, asynchronous transfer mode, bandwidth, time division multiplexing, transmission line, hardware complexity, TDM, processing speed
18Paul Salama, Ness B. Shroff, Edward J. Coyle, Edward J. Delp Error concealment techniques for encoded video streams. Search on Bibsonomy ICIP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF encoded video streams, error concealment techniques, error-recovery approaches, MPEG encoded video, nearest undamaged pixels, lost macroblocks, minimizing intersample variations, packing ATM cells, compressed data, optimal iterative reconstruction, asynchronous transfer mode, interpolation, data compression, image sequences, video coding, image reconstruction, image reconstruction, iterative methods, ATM networks, motion compensation, motion compensation, minimisation, visual communication, telecommunication standards, broadband networks, spatial interpolation, error compensation
18Dimitris Gizopoulos, Antonis M. Paschalis, Yervant Zorian An effective BIST scheme for carry-save and carry-propagate array multipliers. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF BIST scheme, carry-propagate array multipliers, carry-save array multipliers, complex VLSI devices, maximum length LFSR, count-based scheme, multiplier cells, VLSI, logic testing, controllability, built-in self test, integrated circuit testing, automatic testing, observability, fault coverage, test pattern generator, multiplying circuits, carry logic
18Glenn Holt, Akhilesh Tyagi EPNR: an energy-efficient automated layout synthesis package. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF EPNR, energy-efficient automated layout synthesis package, MCNC Logic Synthesis '93 benchmarks, VPNR, VLSI energy minimization problems, VLSI, logic testing, placement, logic CAD, circuit layout CAD, global routing, logic arrays, standard cells, channel routing
18Sanjay Rekhi, J. Donald Trotter HAL: heuristic algorithms for layout synthesis. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF layout synthesis, graph theory based algorithms, leaf cells, common poly gates, 1-1/2-d layout style, common circuit nodes, transistor sets, symbolic layouts, static dual type, static CMOS circuitry, pullup network, pulldown network, dynamic logic styles, graph theory, network topology, logic CAD, heuristic algorithms, circuit layout CAD, CMOS logic circuits, CMOS circuits, GENIE, run time efficient, layout area
18Chris J. Myers, Tomas Rokicki, Teresa H.-Y. Meng Automatic synthesis of gate-level timed circuits with choice. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF gate-level timed circuits, C-elements, explicit timing information, textual specification, conditional operation, reachable state space, semi-custom components, timing, logic CAD, asynchronous circuits, asynchronous circuits, circuit CAD, cellular arrays, circuit complexity, logic arrays, graphical representation, standard-cells, CAD tool, automatic synthesis, gate-arrays, state-space methods, AND gates, OR gates
18Andrej Zemva, Franc Brglez Detectable perturbations: a paradigm for technology-specific multi-fault test generation. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF detectable perturbations, technology-specific multi-fault test generation, multiple bridging, open faults, single-output modules, multi-output modules, mutation faults, technology-mapped cells, fault diagnosis, logic testing, integrated circuit testing, combinational circuits, combinational circuits, automatic testing, stuck-at faults, cellular arrays, benchmark circuits, generic system
18Dario L. Ringach, Yoram Baram A Diffusion Mechanism for Obstacle Detection from Size-Change Information. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF diffusion mechanism, size-change information, immediacy measure, imminence of collision, moving observer, initial condition, locally connected cells, finite-difference approximation, motion measurement, image segmentation, segmentation, motion estimation, edge detection, image sequences, image sequences, neural nets, diffusion, image texture, computerised navigation, finite difference methods, obstacle detection, image domain
18Ahmed El-Amawy Clocking Arbitrarily Large Computing Structures Under Constant Skew Bound. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF constant skew bound, arbitrarily large computing structures, communicating cells, skew upper bound, maximum clocking rate, 2-D mesh framework, node design, nonplanar structures, parallel architectures, stability, hypercubes, network topology, synchronisation, hypercube networks, clocks, clock skew, global synchronization
18Eric M. Dowling, Zuqiang Fu, Ron S. Drafz HARP: An Open Architecture for Parallel Matrix and Signal Processing. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF HARP, matrix processing, Hybrid Array RingProcessor, memory mapped processing cells, open backplane, bidirectional systolic ring, bus controller, DMA function, systolic communication, reduced overhead message passing, digital signalprocessor, systolicarray, parallel algorithms, parallel, parallel architectures, multiprocessor, shared memory, signal processing, signal processing, systolic arrays, shared memory systems, interprocessor communication, open architecture, Application specific architecture
17Yuri Dabaghian Grid cells, border cells, and discrete complex analysis. Search on Bibsonomy Frontiers Comput. Neurosci. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Nobuaki Nishiyama, Peter Ruoff, Juan Carlos Jimenez 0001, Fumikazu Miwakeichi, Yoshiaki Nishiyama, Tsuyoshi Yata Modeling the interaction between donor-derived regulatory T cells and effector T cells early after allogeneic hematopoietic stem cell transplantation. Search on Bibsonomy Biosyst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Juan Shen, Zhihao Yao 0003, Xuewen Tan, Xiufen Zou Mathematical Modeling and Dynamical Analysis for Tumor Cells and Tumor Propagating Cells Controlled by G9a Inhibitors. Search on Bibsonomy Int. J. Bifurc. Chaos The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Inayatul Haq, Tehseen Mazhar, Rizwana Naz Asif, Yazeed Yasin Ghadi, Rabea Saleem, Fatma Mallek, Habib Hamam A deep learning approach for the detection and counting of colon cancer cells (HT-29 cells) bunches and impurities. Search on Bibsonomy PeerJ Comput. Sci. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Maren Høibø, André Pedersen, Vibeke Grotnes Dale, Sissel Marie Berget, Borgny Ytterhus, Cecilia Lindskog, Elisabeth Wik, Lars A. Akslen, Ingerid Reinertsen, Erik Smistad, Marit Valla Immunohistochemistry guided segmentation of benign epithelial cells, in situ lesions, and invasive epithelial cells in breast cancer slides. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Huanlei Wang, As'ad Alizadeh, Azher M. Abed, Anahita Piranfar, Ghassan Fadhil Smaisim, Hamad Karem Hadrawi, Hussein Zekri, Davood Toghraie, Maboud Hekmatifar Investigation of the effects of porosity and volume fraction on the atomic behavior of cancer cells and microvascular cells of 3DN5 and 5OTF macromolecular structures during hematogenous metastasis using the molecular dynamics method. Search on Bibsonomy Comput. Biol. Medicine The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Fangtao Mao, Wenzhen Yang How Merkel cells transduce mechanical stimuli: A biophysical model of Merkel cells. Search on Bibsonomy PLoS Comput. Biol. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Marielle Péré Modeling cancer drug response dynamics in single-cells to predict the emergence of drug-tolerant cells. (Modélisation des dynamiques de réponse de cellules uniques aux anticancéreux pour prédire l'émergence des cellules tolérantes). Search on Bibsonomy 2023   RDF
17Marya Butt, Ander de Keijzer Using Transfer Learning to Train a Binary Classifier for Lorrca Ektacytometery Microscopic Images of Sickle Cells and Healthy Red Blood Cells. Search on Bibsonomy Data The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17 Effects of Coculture Fibroblasts and Vascular Endothelial Cells on Proliferation and Osteogenesis of Adipose Stem Cells. Search on Bibsonomy Comput. Math. Methods Medicine The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Mitchell Weigand, Jenifer Gómez Pastora, Jacob Strayer, Xian Wu, Hyeon Choe, Shuwei Lu, Eric Plencner, Kristina Landes, Andre F. Palmer, Maciej Zborowski, Payal Desai, Jeffrey J. Chalmers The Unique Magnetic Signature of Sickle Red Blood Cells: A Comparison Between the Red Blood Cells of Transfused and Non-Transfused Sickle Cell Disease Patients and Healthy Donors. Search on Bibsonomy IEEE Trans. Biomed. Eng. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Saoussan Kallel-Jallouli, Sundus Naji Al-Aziz Correction to: A compressive mathematical model including an eclipse stage of infected cells to study the impact of stem cells transplantation on one HIV1 patient. Search on Bibsonomy Netw. Model. Anal. Health Informatics Bioinform. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 13546 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license