|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 5553 occurrences of 2863 keywords
|
|
|
Results
Found 16798 publication records. Showing 16798 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
18 | Wei-Chung Cheng, Chain-Fu Chao |
Minimization for LED-backlit TFT-LCDs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 608-611, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
CIELAB color difference, LED backlight, TFT-LCD power minimization, chromaticity-luminance scaling |
18 | Jung Hyun Choi |
Minimization of parasitic effects on the design of an accurate 2-MHz RC oscillator for low voltage and low power applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 18th Annual Symposium on Integrated Circuits and Systems Design, SBCCI 2005, Florianolpolis, Brazil, September 4-7, 2005, pp. 219-223, 2005, ACM. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
2MHz RC circuit, parasitic effects, design, minimization, oscillator |
18 | Daniel Freedman, Petros Drineas |
Energy Minimization via Graph Cuts: Settling What is Possible. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CVPR (2) ![In: 2005 IEEE Computer Society Conference on Computer Vision and Pattern Recognition (CVPR 2005), 20-26 June 2005, San Diego, CA, USA, pp. 939-946, 2005, IEEE Computer Society, 0-7695-2372-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
energy minimization, graph cuts |
18 | Kevin M. Lepak, Min Xu, Jun Chen 0008, Lei He 0001 |
Simultaneous shield insertion and net ordering for capacitive and inductive coupling minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 9(3), pp. 290-309, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
VLSI physical design automation and on-chip inductance, net ordering, noise minimization, signal integrity, shielding |
18 | Stergios Stergiou, Konstantinos Daskalakis, George K. Papakonstantinou |
A fast and efficient heuristic ESOP minimization algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, Boston, MA, USA, April 26-28, 2004, pp. 78-81, 2004, ACM, 1-58113-853-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
heuristic minimization, XOR, ESOP |
18 | Yunmei Chen, Weihong Guo 0002, Feng Huang 0001, David Clifford Wilson, Edward A. Geiser |
using Prior Shape and Points in Medical Image Segmentation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EMMCVPR ![In: Energy Minimization Methods in Computer Vision and Pattern Recognition, 4th International Workshop, EMMCVPR 2003, Lisbon, Portugal, July 7-9, 2003, Proceedings, pp. 291-305, 2003, Springer, 3-540-40498-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Prior shape and points, active contours, level set methods, energy minimization |
18 | Michael F. P. O'Boyle, Elena Stöhr |
Compile Time Barrier Synchronization Minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 13(6), pp. 529-543, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
synchronization reduction, efficient parallelization, barrier minimization, graph algorithms, Compiler optimization |
18 | Yun Cao, Hiroto Yasuura |
A system-level energy minimization approach using datapath width optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2001 International Symposium on Low Power Electronics and Design, 2001, Huntington Beach, California, USA, 2001, pp. 231-236, 2001, ACM, 1-58113-371-5. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
datapath optimization, system-level energy minimization, variable size analysis |
18 | Lei He 0001, Kevin M. Lepak |
Simultaneous shield insertion and net ordering for capacitive and inductive coupling minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2000 International Symposium on Physical Design, ISPD 2000, San Diego, CA, USA, April 9-12, 2000, pp. 55-60, 2000, ACM, 1-58113-191-7. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
VLSI design automation, net ordering, noise minimization, shielding |
18 | Wen-Tsong Shiue |
High Level Synthesis for Peak Power Minimization Using ILP. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: 12th IEEE International Conference on Application-Specific Systems, Architectures, and Processors (ASAP 2000), 10-12 July 2000, Boston, MA, USA, pp. 103-112, 2000, IEEE Computer Society, 0-7695-0716-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Peak power minimization, latency-constrained scheduling, High-level synthesis, low power design, integer linear programming, force-directed scheduling |
18 | Debatosh Debnath, Tsutomu Sasao |
Multiple-Valued Minimization to Optimize PLAs with Output EXOR Gates. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMVL ![In: 29th IEEE International Symposium on Multiple-Valued Logic, ISMVL 1999, Freiburg im Breisgau, Germany, May 20-22, 1999, Proceedings, pp. 99-104, 1999, IEEE Computer Society, 0-7695-0161-3. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Three-level network, programmable logic array, adder, multiple-valued logic, logic minimization |
18 | Rupesh S. Shelar, Madhav P. Desai, H. Narayanan |
Decomposition of Finite State Machines for Area, Delay Minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: Proceedings of the IEEE International Conference On Computer Design, VLSI in Computers and Processors, ICCD '99, Austin, Texas, USA, October 10-13, 1999, pp. 620-625, 1999, IEEE Computer Society, 0-7695-0406-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Orthogonal Partitioning, Finite State Machines, Decomposition, State Assignment, Area Minimization |
18 | Sharat Chandran, Ananth K. Potty |
Energy Minimization of Contours Using Boundary Conditions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Pattern Anal. Mach. Intell. ![In: IEEE Trans. Pattern Anal. Mach. Intell. 20(5), pp. 546-549, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
Dynamic programming, active contours, energy minimization, optimal solutions, deformable contours |
18 | Dalton S. Rosario |
Estimating squinted SAR data: an efficient multivariate minimization approach using only essential 3-D target information. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP (3) ![In: Proceedings 1997 International Conference on Image Processing, ICIP '97, Santa Barbara, California, USA, October 26-29, 1997, pp. 718-721, 1997, IEEE Computer Society, 0-8186-8183-7. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
efficient multivariate minimization, 3D target information, SAR squinted data estimation, radar viewing direction, radar motion directions, SAR image formation, proof-of-principle experiment, synthetic aperture radar, synthetic aperture radar, efficient algorithm, automatic target recognition |
18 | Rolf Drechsler, Michael Theobald, Bernd Becker 0001 |
Fast OFFD-Based Minimization of Fixed Polarity Reed-Muller Expressions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 45(11), pp. 1294-1299, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
two-level AND/EXOR forms, FPRM, OFDD, minimization of FPRMs, Logic synthesis |
18 | Massoud Pedram |
Power minimization in IC design: principles and applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 1(1), pp. 3-56, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
adiabatic circuits, dynamic power dissipation, low power layout, low power synthesis, lower-power design, power analysis and estimation, power minimization and management, silicon-on-insulator technology, switched capacitance, synthesis, system design, power management, layout, probabilistic analysis, symbolic simulation, CMOS circuits, switching activity, statistical sampling, computer-aided design of VLSI, gated clocks, energy-delay product |
18 | Rolf Drechsler, Bernd Becker 0001 |
Dynamic minimization of OKFDDs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 1995 International Conference on Computer Design (ICCD '95), VLSI in Computers and Processors, October 2-4, 1995, Austin, TX, USA, Proceedings, pp. 602-607, 1995, IEEE Computer Society, 0-8186-7165-3. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
dynamic minimization, OKFDDs, small Ordered Kronecker Functional Decision Diagrams, Ordered Functional Decision Diagrams, dynamic variable ordering, decomposition type choice, OKFDD package, Boolean functions, logic design, directed graphs, decision theory, diagrams, minimisation, Ordered Binary Decision Diagrams, PUMA |
18 | Michael Sheliga, Edwin Hsing-Mean Sha |
Bus minimization and scheduling of multi-chip systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Great Lakes Symposium on VLSI ![In: 5th Great Lakes Symposium on VLSI (GLS-VLSI '95), March 16-18, 1995, The State University of New York at Buffalo, USA, pp. 40-45, 1995, IEEE Computer Society, 0-8186-7035-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
bus minimization, multi-chip module design, scheduling, scheduling, logic CAD, polynomial time algorithm, circuit layout CAD, multichip modules, signal flow graphs, signal flow graphs, algorithm efficiency |
18 | Jianhua Chen 0003 |
Application of Boolean expression minimization to learning via hierarchical generalization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 1994 ACM Symposium on Applied Computing, SAC'94, Phoenix, AZ, USA, March 6-8, 1994, pp. 303-307, 1994, ACM, 0-89791-647-6. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
Boolean expression minimization, generalization hierarchy, machine learning |
18 | Majid Sarrafzadeh, D. T. Lee |
Topological Via Minimization Revisited. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 40(11), pp. 1307-1312, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
topological via minimization problem, two-layer environment, two-terminal nets, bounded region, two-sided channel routing problem, partition number, circle graph, graph theory, circuit layout CAD, homotopy, optimal solution |
18 | C. C. Guest, M. M. Mirsalehi, Thomas K. Gaylord |
Residue Number System Truth-Table Look-Up Processing - Moduli Selection and Logical Minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 33(10), pp. 927-931, 1984. The full citation details ...](Pics/full.jpeg) |
1984 |
DBLP DOI BibTeX RDF |
truth-table look-up processing, logical reduction, optical processing, programmable array logic, residue number system, Content-addressable memory, logical minimization |
18 | George K. Papakonstantinou |
Minimization of Modulo-2 Sum of Products. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 28(2), pp. 163-167, 1979. The full citation details ...](Pics/full.jpeg) |
1979 |
DBLP DOI BibTeX RDF |
modulo-2 sum of products, Logic design, minimization, switching functions |
18 | Douglas Comer |
Heuristics for Trie Index Minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Database Syst. ![In: ACM Trans. Database Syst. 4(3), pp. 383-395, 1979. The full citation details ...](Pics/full.jpeg) |
1979 |
DBLP DOI BibTeX RDF |
doubly chained tree, trie minimization, index, trie |
18 | Constantine Halatsis, Nikolaos Gaitanis |
On the Minimization of the Control Store in Microprogrammed Computers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 27(12), pp. 1189-1192, 1978. The full citation details ...](Pics/full.jpeg) |
1978 |
DBLP DOI BibTeX RDF |
AND/OR dependence sets, control store, minimal dependence sets, minimization, microprogramming |
18 | V. Thomas Rhyne, Philip S. Noe, Melvin H. McKinney, Udo W. Pooch |
A New Technique for the Fast Minimization of Switching Functions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 26(8), pp. 757-764, 1977. The full citation details ...](Pics/full.jpeg) |
1977 |
DBLP DOI BibTeX RDF |
Minimization of Boolean functions, row dominance, switching algebra, search tree, prime implicants |
18 | P. A. Hamilton, J. Boothroyd |
Remark on algorithm 251 [E4]: function minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Commun. ACM ![In: Commun. ACM 12(9), pp. 512-513, 1969. The full citation details ...](Pics/full.jpeg) |
1969 |
DBLP DOI BibTeX RDF |
function minimization |
16 | S. Thomas McCormick, Satoru Fujishige |
Strongly polynomial and fully combinatorial algorithms for bisubmodular function minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Math. Program. ![In: Math. Program. 122(1), pp. 87-120, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
Mathematics Subject Classification (2000) Primary: 65K05, Secondary: 90C27, 68W40 |
16 | Leah Epstein, Asaf Levin |
On Equilibria for ADM Minimization Games. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAGT ![In: Algorithmic Game Theory, Second International Symposium, SAGT 2009, Paphos, Cyprus, October 18-20, 2009. Proceedings, pp. 347-358, 2009, Springer, 978-3-642-04644-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
16 | Satoru Iwata 0001, James B. Orlin |
A simple combinatorial algorithm for submodular function minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SODA ![In: Proceedings of the Twentieth Annual ACM-SIAM Symposium on Discrete Algorithms, SODA 2009, New York, NY, USA, January 4-6, 2009, pp. 1230-1237, 2009, SIAM, 978-0-89871-680-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
16 | Zhihai He, Wenye Cheng, Xi Chen |
Energy Minimization of PortableVideo Communication Devices Based on Power-Rate-Distortion Optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Circuits Syst. Video Technol. ![In: IEEE Trans. Circuits Syst. Video Technol. 18(5), pp. 596-608, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
16 | Xiaoping Li 0001, Cheng Wu |
Heuristic for no-wait flow shops with makespan minimization based on total idle-time increments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sci. China Ser. F Inf. Sci. ![In: Sci. China Ser. F Inf. Sci. 51(7), pp. 896-909, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
no-wait flow shops, heuristic, Tabu search, makespan |
16 | Arvind Bhusnurmath, Camillo J. Taylor |
Graph Cuts via l1 Norm Minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Pattern Anal. Mach. Intell. ![In: IEEE Trans. Pattern Anal. Mach. Intell. 30(10), pp. 1866-1871, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
16 | Adam F. Gobi, Witold Pedrycz |
Logic Minimization as an Efficient Means of Fuzzy Structure Discovery. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Fuzzy Syst. ![In: IEEE Trans. Fuzzy Syst. 16(3), pp. 553-566, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
16 | Rémi Gribonval, Morten Nielsen 0002 |
Beyond sparsity: Recovering structured representations by l1{\ell}^1 minimization and greedy algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Adv. Comput. Math. ![In: Adv. Comput. Math. 28(1), pp. 23-41, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Mathematics subject classification (2000) Primary 41A30, Secondary 65D16 |
16 | Alina Momot |
Weighted Averaging of ECG Signal Using Criterion Function Minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Information Technologies in Biomedicine ![In: Information Technologies in Biomedicine, pp. 267-274, 2008, Springer, 978-3-540-68167-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
16 | Róbert Ormándi |
Variance Minimization Least Squares Support Vector Machines for Time Series Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDM ![In: Proceedings of the 8th IEEE International Conference on Data Mining (ICDM 2008), December 15-19, 2008, Pisa, Italy, pp. 965-970, 2008, IEEE Computer Society, 978-0-7695-3502-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
16 | Omar Tahri, Youcef Mezouar |
On the efficient second order minimization and image-based visual servoing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICRA ![In: 2008 IEEE International Conference on Robotics and Automation, ICRA 2008, May 19-23, 2008, Pasadena, California, USA, pp. 3213-3218, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
16 | S. Thomas McCormick, Satoru Fujishige |
Strongly polynomial and fully combinatorial algorithms for bisubmodular function minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SODA ![In: Proceedings of the Nineteenth Annual ACM-SIAM Symposium on Discrete Algorithms, SODA 2008, San Francisco, California, USA, January 20-22, 2008, pp. 44-53, 2008, SIAM. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP BibTeX RDF |
|
16 | Xianbin Wang 0001, Paul Ho, Jie Zhu |
Radiation Footprint Minimization Using Encoded OFDM Pilots for Cognitive Radio Communications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTC Spring ![In: Proceedings of the 67th IEEE Vehicular Technology Conference, VTC Spring 2008, 11-14 May 2008, Singapore, pp. 948-952, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
16 | Markus Chimani, Carsten Gutwenger, Petra Mutzel, Hoi-Ming Wong |
Layer-Free Upward Crossing Minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WEA ![In: Experimental Algorithms, 7th International Workshop, WEA 2008, Provincetown, MA, USA, May 30-June 1, 2008, Proceedings, pp. 55-68, 2008, Springer, 978-3-540-68548-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
16 | Hiroaki Yoshida, Masahiro Fujita |
Performance-Constrained Different Cell Count Minimization for Continuously-Sized Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 2008, Munich, Germany, March 10-14, 2008, pp. 1099-1102, 2008, ACM, 978-3-9810801-3-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
16 | Mahmud Grira, Jonathon A. Chambers |
A blind lag-hopping adaptive channel shortening algorithm based upon squared auto-correlation minimization (LHSAM). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICASSP ![In: Proceedings of the IEEE International Conference on Acoustics, Speech, and Signal Processing, ICASSP 2008, March 30 - April 4, 2008, Caesars Palace, Las Vegas, Nevada, USA, pp. 3569-3572, 2008, IEEE, 1-4244-1484-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
16 | Junyeong Yang, Hyeran Byun |
Curve fitting algorithm using iterative error minimization for sketch beautification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPR ![In: 19th International Conference on Pattern Recognition (ICPR 2008), December 8-11, 2008, Tampa, Florida, USA, pp. 1-4, 2008, IEEE Computer Society, 978-1-4244-2175-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
16 | Masahiro Kimura, Kazumi Saito, Hiroshi Motoda |
Solving the Contamination Minimization Problem on Networks for the Linear Threshold Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PRICAI ![In: PRICAI 2008: Trends in Artificial Intelligence, 10th Pacific Rim International Conference on Artificial Intelligence, Hanoi, Vietnam, December 15-19, 2008. Proceedings, pp. 977-984, 2008, Springer, 978-3-540-89196-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
16 | Andrew Badr |
Hyper-Minimization in O(n2). ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIAA ![In: Implementation and Applications of Automata, 13th International Conference, CIAA 2008, San Francisco, California, USA, July 21-24, 2008. Proceedings, pp. 223-231, 2008, Springer, 978-3-540-70843-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
16 | Yunong Zhang, Zhan Li, Chenfu Yi, Ke Chen 0004 |
Zhang Neural Network Versus Gradient Neural Network for Online Time-Varying Quadratic Function Minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIC (2) ![In: Advanced Intelligent Computing Theories and Applications. With Aspects of Artificial Intelligence, 4th International Conference on Intelligent Computing, ICIC 2008, Shanghai, China, September 15-18, 2008, Proceedings, pp. 807-814, 2008, Springer, 978-3-540-85983-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
16 | Lara Dolecek, Masood Qazi, Devavrat Shah, Anantha P. Chandrakasan |
Breaking the simulation barrier: SRAM evaluation through norm minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2008 International Conference on Computer-Aided Design, ICCAD 2008, San Jose, CA, USA, November 10-13, 2008, pp. 322-329, 2008, IEEE Computer Society, 978-1-4244-2820-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
16 | Rosana Paula de Oliveira Soares, Adriana Rosa Garcez Castro, Roberto Célio Limão de Oliveira, Vladimiro Miranda |
Error Entropy and Mean Square Error Minimization Algorithms for Neural Identification of Supercritical Extraction Process. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBRN ![In: 10th Brazilian Symposium on Neural Networks (SBRN 2008), Salvador, Bahia, Brazil, October 26-30, 2008, pp. 75-80, 2008, IEEE Computer Society, 978-0-7695-3361-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
16 | Jun Wang 0006, Tony Jebara, Shih-Fu Chang |
Graph transduction via alternating minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICML ![In: Machine Learning, Proceedings of the Twenty-Fifth International Conference (ICML 2008), Helsinki, Finland, June 5-9, 2008, pp. 1144-1151, 2008, ACM, 978-1-60558-205-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
16 | Ingrid Daubechies, Ronald A. DeVore, Massimo Fornasier, C. Sinan Güntürk |
Iteratively Re-weighted Least Squares minimization: Proof of faster than linear rate for sparse recovery. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CISS ![In: 42nd Annual Conference on Information Sciences and Systems, CISS 2008, Princeton, NJ, USA, 19-21 March 2008, pp. 26-29, 2008, IEEE, 978-1-4244-2246-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
16 | Kai-Hui Chang, Valeria Bertacco, Igor L. Markov |
Simulation-Based Bug Trace Minimization With BMC-Based Refinement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(1), pp. 152-165, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Vaithilingam Jeyakumar, Alex M. Rubinov, Zhi-You Wu |
Non-convex quadratic minimization problems with quadratic constraints: global optimality conditions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Math. Program. ![In: Math. Program. 110(3), pp. 521-541, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
AMS Subject Classification 41A65, 90C30, 41A29 |
16 | Yunmei Chen, Feng Huang 0001, Hemant D. Tagare, Murali Rao |
A Coupled Minimization Problem for Medical Image Segmentation with Priors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Comput. Vis. ![In: Int. J. Comput. Vis. 71(3), pp. 259-272, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
intensity prior, mutual information of image geometry, segmentation, registration, variational method, shape prior |
16 | Kiyohito Nagano |
On Convex Minimization over Base Polytopes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPCO ![In: Integer Programming and Combinatorial Optimization, 12th International IPCO Conference, Ithaca, NY, USA, June 25-27, 2007, Proceedings, pp. 252-266, 2007, Springer, 978-3-540-72791-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
convex optimization, submodular functions |
16 | Bruno Daunay, Alain Micaelli, Stephane Régnier |
Energy-field reconstruction for haptic-based molecular docking using energy minimization processes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IROS ![In: 2007 IEEE/RSJ International Conference on Intelligent Robots and Systems, October 29 - November 2, 2007, Sheraton Hotel and Marina, San Diego, California, USA, pp. 2704-2709, 2007, IEEE, 978-1-4244-0912-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Yu-Qiang Sun, Hai-Lian Lu, Yu-Ping Li, Hai-Yan Wang |
Parallel Processing of Minimization Algorithm for Determination Finite Automata. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIC (3) ![In: Advanced Intelligent Computing Theories and Applications. With Aspects of Contemporary Intelligent Computing Techniques, Third International Conference on Intelligent Computing, ICIC 2007, Qingdao, China, August 21-24, 2007. Proceedings, pp. 73-80, 2007, Springer, 978-3-540-74281-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
distinguishable state table, parallelism, DFA |
16 | Shunsuke Yamaki, Masahide Abe, Masayuki Kawamata |
A Fast Convergence Algorithm for L2-Sensitivity Minimization of 2-D Separable-Denominator State-Space Digital Filters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2007), 27-20 May 2007, New Orleans, Louisiana, USA, pp. 2722-2725, 2007, IEEE, 1-4244-0920-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Nei-Chiung Perng, Shih-Hao Hung |
Task Scheduling for Context Minimization in Dynamically Reconfigurable Platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUC ![In: Embedded and Ubiquitous Computing, International Conference, EUC 2007, Taipei, Taiwan, December 17-20, 2007, Proceedings, pp. 55-63, 2007, Springer, 978-3-540-77091-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Taeko Matsunaga, Yusuke Matsunaga |
Area minimization algorithm for parallel prefix adders under bitwise delay constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, Stresa, Lago Maggiore, Italy, March 11-13, 2007, pp. 435-440, 2007, ACM, 978-1-59593-605-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
arithmetic synthesis, dynamic programming, parallel prefix adder |
16 | Emiliano D'Agostino, Frederik Maes, Dirk Vandermeulen, Paul Suetens |
Atlas-to-Image Non-rigid Registration by Minimization of Conditional Local Entropy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPMI ![In: Information Processing in Medical Imaging, 20th International Conference, IPMI 2007, Kerkrade, The Netherlands, July 2-6, 2007, Proceedings, pp. 320-332, 2007, Springer, 978-3-540-73272-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Hyun-Chul Choi, Se-Young Oh |
Facial Identity and Expression Recognition by using Active Appearance Model with Efficient Second Order Minimization and Neural Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIRA ![In: Proceedings of the 7th IEEE International Symposium on Computational Intelligence in Robotics and Automation, CIRA 2007, 20-23 June 2007, Jacksonville, Florida, USA, pp. 131-136, 2007, IEEE, 1-4244-0790-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Xiaoji Ye, Yaping Zhan, Peng Li 0001 |
Statistical Leakage Power Minimization Using Fast Equi-Slack Shell Based Optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 44th Design Automation Conference, DAC 2007, San Diego, CA, USA, June 4-8, 2007, pp. 853-858, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Zili Shao, Bin Xiao 0001, Chun Xue, Qingfeng Zhuge, Edwin Hsing-Mean Sha |
Loop scheduling with timing and switching-activity minimization for VLIW DSP. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 11(1), pp. 165-185, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
instruction bus optimization, low-power optimization, compilers, software pipelining, VLIW, retiming, instruction scheduling, loops |
16 | Yi-Yu Liu, Kuo-Hua Wang, TingTing Hwang |
Crosstalk minimization in logic synthesis for PLAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 11(4), pp. 890-915, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
synthesis, Crosstalk, PLA, domino logic |
16 | Wenping Wang, Helmut Pottmann, Yang Liu 0014 |
Fitting B-spline curves to point clouds by curvature-based squared distance minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Graph. ![In: ACM Trans. Graph. 25(2), pp. 214-238, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
squared distance, optimization, curve fitting, point cloud, shape reconstruction, quasi-Newton method, B-spline curve, scatter data approximation, Gauss-Newton method, least squares problem |
16 | Rasmus V. Rasmussen, Michael A. Trick |
The Timetable Constrained Distance Minimization Problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CPAIOR ![In: Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems, Third International Conference, CPAIOR 2006, Cork, Ireland, May 31 - June 2, 2006, Proceedings, pp. 167-181, 2006, Springer, 3-540-34306-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Sports scheduling, Integer Programming, Constraint Programming, Timetabling |
16 | Peter E. William, Michael W. Hoffman |
Error Entropy and Mean Square Error Minimization for Lossless Image Compression. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP ![In: Proceedings of the International Conference on Image Processing, ICIP 2006, October 8-11, Atlanta, Georgia, USA, pp. 2261-2264, 2006, IEEE, 1-4244-0480-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Ismail Ben Ayed, Amar Mitiche |
A Partition Constrained Minimization Scheme for Efficient Multiphase Level Set Image Segmentation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP ![In: Proceedings of the International Conference on Image Processing, ICIP 2006, October 8-11, Atlanta, Georgia, USA, pp. 1641-1644, 2006, IEEE, 1-4244-0480-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Can Alkan, Emre Karakoç, Süleyman Cenk Sahinalp, Peter J. Unrau, H. Alexander Ebhardt, Kaizhong Zhang, Jeremy Buhler |
RNA Secondary Structure Prediction Via Energy Density Minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RECOMB ![In: Research in Computational Molecular Biology, 10th Annual International Conference, RECOMB 2006, Venice, Italy, April 2-5, 2006, Proceedings, pp. 130-142, 2006, Springer, 3-540-33295-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Sarvesh Bhardwaj, Yu Cao 0001, Sarma B. K. Vrudhula |
Statistical leakage minimization through joint selection of gate sizes, gate lengths and threshold voltage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, Yokohama, Japan, January 24-27, 2006, pp. 953-958, 2006, IEEE, 0-7803-9451-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Weihong Li, Weiguo Gong, Liping Yang 0001, Weimin Chen, Xiaohua Gu |
Facial Feature Selection Based on SVMs by Regularized Risk Minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPR (3) ![In: 18th International Conference on Pattern Recognition (ICPR 2006), 20-24 August 2006, Hong Kong, China, pp. 540-543, 2006, IEEE Computer Society, 0-7695-2521-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Xiaogang Dong, Ilya Pollak |
Approximate Methods for Constrained Total Variation Minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSR ![In: Computer Science - Theory and Applications, First International Symposium on Computer Science in Russia, CSR 2006, St. Petersburg, Russia, June 8-12, 2006, Proceedings, pp. 403-414, 2006, Springer, 3-540-34166-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Ayhan A. Mutlu, Charles Kwong, Abir Mukherjee, Mahmud Rahman |
Statistical circuit performance variability minimization under manufacturing variations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece, 2006, IEEE, 0-7803-9389-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Chia-Chun Tsai, Jan-Ou Wu, Chien-Wen Kao, Trong-Yen Lee, Rong-Shue Hsiao |
Coupling aware RLC-based clock routings for crosstalk minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece, 2006, IEEE, 0-7803-9389-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Ja Chun Ku, Serkan Ozdemir, Gokhan Memik, Yehea I. Ismail |
Power density minimization for highly-associative caches in embedded processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 16th ACM Great Lakes Symposium on VLSI 2006, Philadelphia, PA, USA, April 30 - May 1, 2006, pp. 100-104, 2006, ACM, 1-59593-347-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
cache, embedded processor, leakage power, temperature |
16 | Viraj Kumar, P. Madhusudan, Mahesh Viswanathan 0001 |
Minimization, Learning, and Conformance Testing of Boolean Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CONCUR ![In: CONCUR 2006 - Concurrency Theory, 17th International Conference, CONCUR 2006, Bonn, Germany, August 27-30, 2006, Proceedings, pp. 203-217, 2006, Springer, 3-540-37376-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Tien-Lung Chang, Tyng-Luh Liu, Jen-Hui Chuang |
Direct Energy Minimization for Super-Resolution on Nonlinear Manifolds. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECCV (4) ![In: Computer Vision - ECCV 2006, 9th European Conference on Computer Vision, Graz, Austria, May 7-13, 2006, Proceedings, Part IV, pp. 281-294, 2006, Springer, 3-540-33838-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Wenhui Zhou, Lili Lin, Weikang Gu |
A Swarm Optimization Model for Energy Minimization Problem of Early Vision. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICONIP (2) ![In: Neural Information Processing, 13th International Conference, ICONIP 2006, Hong Kong, China, October 3-6, 2006, Proceedings, Part II, pp. 1128-1137, 2006, Springer, 3-540-46481-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Matthias Tichy, Holger Giese, Daniela Schilling, Wladimir Pauls |
Computing optimal self-repair actions: damage minimization versus repair time. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGSOFT Softw. Eng. Notes ![In: ACM SIGSOFT Softw. Eng. Notes 30(4), pp. 7-6, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
distributed systems, dependability, deployment, self-healing |
16 | Wu-Sheng Lu, Takao Hinamoto |
Jointly optimized error-feedback and realization for roundoff noise minimization in state-space digital filters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Signal Process. ![In: IEEE Trans. Signal Process. 53(6), pp. 2135-2145, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
16 | Dalong Li, Russell M. Mersereau, Steven J. Simske |
Blur identification based on kurtosis minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP (1) ![In: Proceedings of the 2005 International Conference on Image Processing, ICIP 2005, Genoa, Italy, September 11-14, 2005, pp. 905-908, 2005, IEEE, 0-7803-9134-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
16 | Mehmet M. Dalkilic, Arijit Sengupta |
Circle: design and implementation of a classifier based on circuit minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2005 ACM Symposium on Applied Computing (SAC), Santa Fe, New Mexico, USA, March 13-17, 2005, pp. 547-548, 2005, ACM, 1-58113-964-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
16 | Albert Hartono, Alexander Sibiryakov, Marcel Nooijen, Gerald Baumgartner, David E. Bernholdt, So Hirata, Chi-Chung Lam, Russell M. Pitzer, J. Ramanujam, P. Sadayappan |
Automated Operation Minimization of Tensor Contraction Expressions in Electronic Structure Calculations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Computational Science (1) ![In: Computational Science - ICCS 2005, 5th International Conference, Atlanta, GA, USA, May 22-25, 2005, Proceedings, Part I, pp. 155-164, 2005, Springer, 3-540-26032-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
16 | Stéphan Clémençon, Gábor Lugosi, Nicolas Vayatis |
Ranking and Scoring Using Empirical Risk Minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COLT ![In: Learning Theory, 18th Annual Conference on Learning Theory, COLT 2005, Bertinoro, Italy, June 27-30, 2005, Proceedings, pp. 1-15, 2005, Springer, 3-540-26556-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
16 | Yu-Han Chang, Leslie Pack Kaelbling |
Hedged learning: regret-minimization with learning experts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICML ![In: Machine Learning, Proceedings of the Twenty-Second International Conference (ICML 2005), Bonn, Germany, August 7-11, 2005, pp. 121-128, 2005, ACM, 1-59593-180-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
16 | Murari Mani, Anirudh Devgan, Michael Orshansky |
An efficient algorithm for statistical minimization of total power under timing yield constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005, pp. 309-314, 2005, ACM, 1-59593-058-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
manufacturability, leakage, statistical optimization |
16 | Yongqiang Lu 0001, Cliff C. N. Sze, Xianlong Hong, Qiang Zhou 0001, Yici Cai, Liang Huang, Jiang Hu |
Navigating registers in placement for clock network minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005, pp. 176-181, 2005, ACM, 1-59593-058-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
low power, placement, clock network, variation tolerance |
16 | Soonuk Seol, Myungchul Kim 0001, Samuel T. Chanson, Sungwon Kang |
Interoperability test generation and minimization for communication protocols based on the multiple stimuli principle. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Sel. Areas Commun. ![In: IEEE J. Sel. Areas Commun. 22(10), pp. 2062-2074, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
16 | Shan-Tai Chen, Shun-Shii Lin, Li-Te Huang, Chun-Jen Wei |
Towards the Exact Minimization of BDDs-An Elitism-Based Distributed Evolutionary Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Heuristics ![In: J. Heuristics 10(3), pp. 337-355, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
DEBEA, EBEA, paralleled algorithm, evolutionary algorithm, Binary Decision Diagram, heuristic algorithm |
16 | Kostas Vlachos, Evangelos Papadopoulos, Dionyssios Mitropoulos |
Mass/inertia and Joint Friction Minimization for a Low-force Five-dof Haptic Device. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICRA ![In: Proceedings of the 2004 IEEE International Conference on Robotics and Automation, ICRA 2004, April 26 - May 1, 2004, New Orleans, LA, USA, pp. 286-291, 2004, IEEE. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
16 | Christos Nomikos, Aris Pagourtzis, Katerina Potika, Stathis Zachos |
Fiber Cost Reduction and Wavelength Minimization in Multifiber WDM Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NETWORKING ![In: NETWORKING 2004, Networking Technologies, Services, and Protocols; Performance of Computer and Communication Networks; Mobile and Wireless Communication, Third International IFIP-TC6 Networking Conference, Athens, Greece, May 9-14, 2004, Proceedings, pp. 150-161, 2004, Springer, 3-540-21959-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
16 | Yi-Yu Liu, Kuo-Hua Wang, TingTing Hwang |
Crosstalk Minimization in Logic Synthesis for PLA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France, pp. 790-795, 2004, IEEE Computer Society, 0-7695-2085-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
16 | Rüdiger Ebendt, Wolfgang Günther 0001, Rolf Drechsler |
Minimization of the expected path length in BDDs based on local changes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004, pp. 865-870, 2004, IEEE Computer Society, 0-7803-8175-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
16 | Zili Shao, Qingfeng Zhuge, Meilin Liu, Bin Xiao 0001, Edwin Hsing-Mean Sha |
Switching-Activity Minimization on Instruction-Level Loop Scheduling for VLIWDSP Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: 15th IEEE International Conference on Application-Specific Systems, Architectures, and Processors (ASAP 2004), 27-29 September 2004, Galveston, TX, USA, pp. 224-234, 2004, IEEE Computer Society, 0-7695-2226-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
16 | Anna Bernasconi 0001, Valentina Ciriani, Fabrizio Luccio, Linda Pagli |
Three-level logic minimization based on function regularities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(8), pp. 1005-1016, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
16 | Akira Koseki, Hideaki Komatsu, Toshio Nakatani |
Spill Code Minimization by Spill Code Motion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: 12th International Conference on Parallel Architectures and Compilation Techniques (PACT 2003), 27 September - 1 October 2003, New Orleans, LA, USA, pp. 125-134, 2003, IEEE Computer Society, 0-7695-2021-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
16 | Shalini Ghosh, Sugato Basu, Nur A. Touba |
Joint Minimization of Power and Area in Scan Testing by Scan Cell Reordering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2003 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2003), New Trends and Technologies for VLSI Systems Design, 20-21 February 2003, Tampa, FL, USA, pp. 246-249, 2003, IEEE Computer Society, 0-7695-1904-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
16 | Mikhail Moshkov |
Approximate Algorithm for Minimization of Decision Tree Depth. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RSFDGrC ![In: Rough Sets, Fuzzy Sets, Data Mining, and Granular Computing, 9th International Conference, RSFDGrC 2003, Chongqing, China, May 26-29, 2003, Proceedings, pp. 611-614, 2003, Springer, 3-540-14040-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
decision tree, decision table, depth, data table |
16 | Carsten Gutwenger, Petra Mutzel |
An Experimental Study of Crossing Minimization Heuristics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GD ![In: Graph Drawing, 11th International Symposium, GD 2003, Perugia, Italy, September 21-24, 2003, Revised Papers, pp. 13-24, 2003, Springer, 3-540-20831-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
16 | Samir Sapra, Michael Theobald, Edmund M. Clarke |
SAT-Based Algorithms for Logic Minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 21st International Conference on Computer Design (ICCD 2003),VLSI in Computers and Processors, 13-15 October 2003, San Jose, CA, USA, Proceedings, pp. 510-, 2003, IEEE Computer Society, 0-7695-2025-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
Displaying result #301 - #400 of 16798 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ >>] |
|