The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for multiprocessors with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1966-1977 (16) 1978-1982 (24) 1983-1984 (32) 1985 (19) 1986 (35) 1987 (38) 1988 (71) 1989 (92) 1990 (117) 1991 (129) 1992 (132) 1993 (128) 1994 (151) 1995 (163) 1996 (138) 1997 (158) 1998 (101) 1999 (144) 2000 (120) 2001 (86) 2002 (85) 2003 (110) 2004 (116) 2005 (162) 2006 (163) 2007 (190) 2008 (185) 2009 (158) 2010 (139) 2011 (97) 2012 (59) 2013 (57) 2014 (72) 2015 (48) 2016 (39) 2017 (43) 2018 (29) 2019 (25) 2020 (22) 2021-2022 (21) 2023-2024 (9)
Publication types (Num. hits)
article(1129) book(3) incollection(15) inproceedings(2474) phdthesis(101) proceedings(1)
Venues (Conferences, Journals, ...)
IEEE Trans. Parallel Distribut...(162) IEEE Trans. Computers(132) ISCA(98) IPDPS(95) HPCA(73) ICPP(73) J. Parallel Distributed Comput...(70) SC(61) ICS(54) Euro-Par(52) IPPS(50) ICPP (1)(45) PACT(45) SIGMETRICS(43) DAC(40) Parallel Comput.(39) More (+10 of total 632)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4193 occurrences of 1488 keywords

Results
Found 3723 publication records. Showing 3723 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Benjamín Sahelices, Pablo Ibáñez, Víctor Viñals, José María Llabería A Methodology to Characterize Critical Section Bottlenecks in DSM Multiprocessors. Search on Bibsonomy Euro-Par The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Chenjie Yu, Xiangrong Zhou, Peter Petrov Low-power inter-core communication through cache partitioning in embedded multiprocessors. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low-power cache architectures, low-power cache coherence, MPSoC, on-chip communication
18Shirish Bahirat, Sudeep Pasricha Exploring hybrid photonic networks-on-chip foremerging chip multiprocessors. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF photonic interconnect, network-on-chip, chip multiprocessor
18Guanjun Jiang, Degui Feng, Liangliang Tong, Lingxiang Xiang, Chao Wang 0058, Tianzhou Chen L1 Collective Cache: Managing Shared Data for Chip Multiprocessors. Search on Bibsonomy APPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CMP, cache design, L1 cache
18Ahmed Abousamra, Rami G. Melhem, Daniel Mossé Minimizing expected energy consumption for streaming applications with linear dependencies on chip multiprocessors. Search on Bibsonomy SIES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Mohammad Hammoud, Sangyeun Cho, Rami G. Melhem Dynamic cache clustering for chip multiprocessors. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF non-uniform cache architecture (nuca), chip multiprocessor (cmp)
18Kai Tian, Yunlian Jiang, Xipeng Shen A study on optimally co-scheduling jobs of different lengths on chip multiprocessors. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cache contention, cmp scheduling, perfect matching, a*-search, co-scheduling
18Yamin Li, Shietung Peng, Wanming Chu An Efficient Parallel Sorting Algorithm on Metacube Multiprocessors. Search on Bibsonomy ICA3PP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF metacube, Parallel algorithm, hypercube, sort algorithm
18Mohammad Hammoud, Sangyeun Cho, Rami G. Melhem ACM: An Efficient Approach for Managing Shared Caches in Chip Multiprocessors. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Omer Khan, Sandip Kundu Predictive Thermal Management for Chip Multiprocessors Using Co-designed Virtual Machines. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Dynamic Thermal Management (DTM), Virtual Thermal Manager (VTM), Dynamic Voltage and Frequency Scaling (DVFS)
18Scott Schneider 0001, Jae-Seung Yeom, Benjamin Rose, John C. Linford, Adrian Sandu, Dimitrios S. Nikolopoulos A comparison of programming models for multiprocessors with explicitly managed memory hierarchies. Search on Bibsonomy PPoPP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF explicitly managed memory hierarchies, programming models, cell be
18Abhishek Bhattacharjee, Margaret Martonosi Thread criticality predictors for dynamic performance, power, and resource management in chip multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF intel tbb, thread criticality prediction, parallel processing, caches, dvfs
18Yefu Wang, Kai Ma, Xiaorui Wang Temperature-constrained power control for chip multiprocessors with online model estimation. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF power management, chip multiprocessor, feedback control
18Lide Zhang, Lan S. Bai, Robert P. Dick, Li Shang, Russ Joseph Process variation characterization of chip-level multiprocessors. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF software, process variation, characterization
18Assaf Shacham, Keren Bergman, Luca P. Carloni Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Jieyi Long, Seda Ogrenci Memik, Gokhan Memik, Rajarshi Mukherjee Thermal monitoring mechanisms for chip multiprocessors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Thermal sensor allocation, nonuniform and uniform sensor placement
18Divya Gulati, Changkyu Kim, Simha Sethumadhavan, Stephen W. Keckler, Doug Burger Multitasking workload scheduling on flexible-core chip multiprocessors. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF flexible cores, multitask scheduling, multicore architectures
18Yunlian Jiang, Xipeng Shen, Jie Chen 0010, Rahul Tripathi Analysis and approximation of optimal co-scheduling on chip multiprocessors. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CMP scheduling, cache contention, perfect matching, co-scheduling
18Kenji Funaoka, Shinpei Kato, Nobuyuki Yamasaki Energy-Efficient Optimal Real-Time Scheduling on Multiprocessors. Search on Bibsonomy ISORC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Energy-Aware Multiprocessor Systems, Voltage and Frequency Scaling, Real-Time Scheduling
18Kenji Funaoka, Shinpei Kato, Nobuyuki Yamasaki Work-Conserving Optimal Real-Time Scheduling on Multiprocessors. Search on Bibsonomy ECRTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Stephen A. Edwards, Nalini Vasudevan, Olivier Tardieu Programming Shared Memory Multiprocessors with Deterministic Message-Passing Concurrency: Compiling SHIM to Pthreads. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Andreas Apostolakis, Dimitris Gizopoulos, Mihalis Psarakis, Antonis M. Paschalis Functional Self-Testing for Bus-Based Symmetric Multiprocessors. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Ramazan Bitirgen, Engin Ipek, José F. Martínez Coordinated management of multiple interacting resources in chip multiprocessors: A machine learning approach. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Brian Rogers, Chenyu Yan, Siddhartha Chhabra, Milos Prvulovic, Yan Solihin Single-level integrity and confidentiality protection for distributed shared memory multiprocessors. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Feihui Li, Mahmut T. Kandemir, Mary Jane Irwin Implementation and evaluation of a migration-based NUCA design for chip multiprocessors. Search on Bibsonomy SIGMETRICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF NUCA, post office placement problem, CMP, data migration
18Chenjie Yu, Peter Petrov Distributed and low-power synchronization architecture for embedded multiprocessors. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF synchronization, multiprocessor
18Kenji Funaoka, Shinpei Kato, Nobuyuki Yamasaki New Abstraction for Optimal Real-Time Scheduling on Multiprocessors. Search on Bibsonomy RTCSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Taylan Yemliha, Shekhar Srikantaiah, Mahmut T. Kandemir, Mustafa Karaköy, Mary Jane Irwin Integrated code and data placement in two-dimensional mesh based chip multiprocessors. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Vijay Nagarajan, Rajiv Gupta 0001 Support for symmetric shadow memory in multiprocessors. Search on Bibsonomy PADTAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF symmetric shadow memory, monitoring
18Zhiyi Yu, Bevan M. Baas A low-area interconnect architecture for chip multiprocessors. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Qifei Fan, Ge Zhang 0007, Weiwu Hu A synchronized variable frequency clock scheme in chip multiprocessors. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Christian Bienia, Sanjeev Kumar, Kai Li 0001 PARSEC vs. SPLASH-2: A quantitative comparison of two multithreaded benchmark suites on Chip-Multiprocessors. Search on Bibsonomy IISWC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Antonino Tumeo, Matteo Monchiero, Gianluca Palermo, Fabrizio Ferrandi, Donatella Sciuto Lightweight DMA management mechanisms for multiprocessors on FPGA. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Abu Saad Papa, Madhu Mutyam Power management of variation aware chip multiprocessors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF chipmulti-processor, process variation, power-aware, adaptive voltage scaling
18Mahmut T. Kandemir, Feihui Li, Mary Jane Irwin, Seung Woo Son 0001 A novel migration-based NUCA design for chip multiprocessors. Search on Bibsonomy SC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Lars Arge, Michael T. Goodrich, Michael J. Nelson 0002, Nodari Sitchinava Fundamental parallel algorithms for private-cache chip multiprocessors. Search on Bibsonomy SPAA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallel external memory, pem, private-cache cmp
18Shekhar Srikantaiah, Mahmut T. Kandemir, Mary Jane Irwin Adaptive set pinning: managing shared caches in chip multiprocessors. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF inter-processor, intra-processor, set pinning, CMP, shared cache
18Chenjie Yu, Peter Petrov Latency and bandwidth efficient communication through system customization for embedded multiprocessors. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF snoop protocol, embedded multiprocessor
18Jan Christian Meyer, Anne C. Elster Latency Impact on Spin-Lock Algorithms for Modern Shared Memory Multiprocessors. Search on Bibsonomy CISIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Zvika Guz, Idit Keidar, Avinoam Kolodny, Uri C. Weiser Nahalal: Cache Organization for Chip Multiprocessors. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Guilin Chen, Mahmut T. Kandemir An Approach for Enhancing Inter-processor Data Locality on Chip Multiprocessors. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Srinivasan Murali, David Atienza, Paolo Meloni, Salvatore Carta, Luca Benini, Giovanni De Micheli, Luigi Raffo Synthesis of Predictable Networks-on-Chip-Based Interconnect Architectures for Chip Multiprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18James Laudon, Lawrence Spracklen The Coming Wave of Multithreaded Chip Multiprocessors. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF performance, parallel programming, multithreading, Chip multiprocessing
18Alexandra Fedorova, Margo I. Seltzer, Michael D. Smith 0001 Improving Performance Isolation on Chip Multiprocessors via an Operating System Scheduler. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Thomas A. M. Bernard, Chris R. Jesshope, Peter M. W. Knijnenburg Strategies for Compiling µ TC to Novel Chip Multiprocessors. Search on Bibsonomy SAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Antonino Tumeo, Marco Branca, Lorenzo Camerini, Matteo Monchiero, Gianluca Palermo, Fabrizio Ferrandi, Donatella Sciuto An Interrupt Controller for FPGA-based Multiprocessors. Search on Bibsonomy ICSAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Pepijn J. de Langen, Ben H. H. Juurlink Trade-Offs Between Voltage Scaling and Processor Shutdown for Low-Energy Embedded Multiprocessors. Search on Bibsonomy SAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Filip Pizlo, Daniel Frampton, Erez Petrank, Bjarne Steensgaard Stopless: a real-time garbage collector for multiprocessors. Search on Bibsonomy ISMM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF real-time, concurrency, garbage collection, lock-free
18Haakon Dybdahl, Per Stenström An Adaptive Shared/Private NUCA Cache Partitioning Scheme for Chip Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Alaa R. Alameldeen, David A. Wood 0001 Interactions Between Compression and Prefetching in Chip Multiprocessors. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Domingo Benitez, Juan C. Moure, Dolores Rexachs, Emilio Luque Adaptive L2 Cache for Chip Multiprocessors. Search on Bibsonomy Euro-Par Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18John Cieslewicz, Kenneth A. Ross, Ioannis Giannakakis Parallel buffers for chip multiprocessors. Search on Bibsonomy DaMoN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Martin Karlsson, Erik Hagersten Conserving Memory Bandwidth in Chip Multiprocessors with Runahead Execution. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Hyeonjoong Cho, Binoy Ravindran, E. Douglas Jensen Synchronization for an optimal real-time scheduling algorithm on multiprocessors. Search on Bibsonomy SIES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Björn Andersson, Eduardo Tovar Competitive Analysis of Static-Priority Partitioned Scheduling on Uniform Multiprocessors. Search on Bibsonomy RTCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Marco Galluzzi, Enrique Vallejo 0001, Adrián Cristal, Fernando Vallejo, Ramón Beivide, Per Stenström, James E. Smith 0001, Mateo Valero Implicit Transactional Memory in Kilo-Instruction Multiprocessors. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Ruibin Xu, Rami G. Melhem, Daniel Mossé Energy-Aware Scheduling for Streaming Applications on Chip Multiprocessors. Search on Bibsonomy RTSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Sebastian Herbert, Diana Marculescu Analysis of dynamic voltage/frequency scaling in chip-multiprocessors. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF chip-multiprocessor, dynamic voltage/frequency scaling
18Michela Becchi, Mark A. Franklin, Patrick Crowley Performance/area efficiency in chip multiprocessors with micro-caches. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF networking workload, chip multiprocessor, cache hierarchies
18Lakshmana Rao Vittanala, Mainak Chaudhuri Integrating Memory Compression and Decompression with Coherence Protocols in Distributed Shared Memory Multiprocessors. Search on Bibsonomy ICPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Thomas F. Wenisch, Anastassia Ailamaki, Babak Falsafi, Andreas Moshovos Mechanisms for store-wait-free multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF store buffer design, memory consistency models
18Sanjeev Kumar, Christopher J. Hughes, Anthony D. Nguyen Carbon: architectural support for fine-grained parallelism on chip multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF loop and task parallelism, CMP, architectural support
18Matteo Monchiero, Gianluca Palermo, Cristina Silvano, Oreste Villa Efficient Synchronization for Embedded On-Chip Multiprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18JoAnn M. Paul, Donald E. Thomas, Alex Bobrek Scenario-oriented design for single-chip heterogeneous multiprocessors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Jyh-Biau Chang, Ce-Kuen Shieh, Tyng-Yeu Liang A Transparent Distributed Shared Memory for Clustered Symmetric Multiprocessors. Search on Bibsonomy J. Supercomput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF thread architecture, reconfiguration, cluster computing, distributed shared memory, symmetric multiprocessor
18Brian Rogers, Milos Prvulovic, Yan Solihin Efficient data protection for distributed shared memory multiprocessors. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF DSM multiprocessor, memory encryption and authentication, data security
18Anca Mariana Molnos, Sorin Dan Cotofana, Marc J. M. Heijligers, Jos T. J. van Eijndhoven Throughput optimization via cache partitioning for embedded multiprocessors. Search on Bibsonomy ICSAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Matteo Monchiero, Gianluca Palermo, Cristina Silvano, Oreste Villa Exploration of Distributed Shared Memory Architectures for NoC-based Multiprocessors. Search on Bibsonomy ICSAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Michael R. Marty, Mark D. Hill Coherence Ordering for Ring-based Chip Multiprocessors. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Jian Li 0059, José F. Martínez Dynamic power-performance adaptation of parallel computation on chip multiprocessors. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Haakon Dybdahl, Per Stenström, Lasse Natvig A Cache-Partitioning Aware Replacement Policy for Chip Multiprocessors. Search on Bibsonomy HiPC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Nabil Hasasneh, Ian M. Bell, Chris R. Jesshope Scalable and Partitionable Asynchronous Arbiter for Micro-threaded Chip Multiprocessors. Search on Bibsonomy ARCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Hou Rui, Longbing Zhang, Weiwu Hu A Hybrid Hardware/Software Generated Prefetching Thread Mechanism on Chip Multiprocessors. Search on Bibsonomy Euro-Par The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Navid Imani, Hamid Sarbazi-Azad A physical particle and plane framework for load balancing in multiprocessors. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Matthew Curtis-Maury, James Dzierwa, Christos D. Antonopoulos, Dimitrios S. Nikolopoulos Online strategies for high-performance power-aware thread execution on emerging multiprocessors. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Xudong Shi 0003, Zhen Yang, Jih-Kwon Peir, Lu Peng 0001, Yen-Kuang Chen, Victor W. Lee, B. Liang Coterminous locality and coterminous group data prefetching on chip-multiprocessors. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Dan Wallin, Henrik Löf, Erik Hagersten, Sverker Holmgren Multigrid and Gauss-Seidel smoothers revisited: parallelization on chip multiprocessors. Search on Bibsonomy ICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Gauss-Seidel, temporal blocking, CMP, OpenMP, relaxation, orderings, multigrid, Poisson equation, cache blocking
18Ozcan Ozturk 0001, Mahmut T. Kandemir, Mary Jane Irwin, Suleyman Tosun Multi-Level On-Chip Memory Hierarchy Design for Embedded Chip Multiprocessors. Search on Bibsonomy ICPADS (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Liping Xue, Mahmut T. Kandemir, Guangyu Chen, Taylan Yemliha SPM Conscious Loop Scheduling for Embedded Chip Multiprocessors. Search on Bibsonomy ICPADS (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF SPM (Scratch-Pad Memory), dynamic loop scheduling, parallelization, compiler, CMP (chip multiprocessor), data locality
18Chao-Chin Wu, Kuan-Chou Lai A Loop Optimization Technique for Speculative Chip Multiprocessors. Search on Bibsonomy IWNAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Martin Thuresson, Per Stenström Scalable Value-Cache Based Compression Schemes for Multiprocessors. Search on Bibsonomy SBAC-PAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Raphael Fonte Boa, Alexandre Marques Amaral, Dulcinéia Oliveira da Penha, Carlos Augusto Paiva da Silva Martins, Petr Ekel Parallel Image Segmentation in Reconfigurable Chip Multiprocessors. Search on Bibsonomy ISPA Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Rana Ejaz Ahmed Energy-Aware Cache Coherence Protocol for Chip-Multiprocessors. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Luis Ceze, James Tuck 0001, Josep Torrellas, Calin Cascaval Bulk Disambiguation of Speculative Threads in Multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Feihui Li, Chrysostomos Nicopoulos, Thomas D. Richardson, Yuan Xie 0001, Narayanan Vijaykrishnan, Mahmut T. Kandemir Design and Management of 3D Chip Multiprocessors Using Network-in-Memory. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18John D. Davis, Cong Fu 0010, James Laudon The RASE (Rapid, Accurate Simulation Environment) for chip multiprocessors. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Brett H. Meyer, Joshua J. Pieper, JoAnn M. Paul, Jeffrey E. Nelson, Sean M. Pieper, Anthony G. Rowe Power-Performance Simulation and Design Strategies for Single-Chip Heterogeneous Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF performance analysis, low-power design, power management, System architectures, energy-aware systems, integration and modeling, design aids
18Ismail Kadayif, Mahmut T. Kandemir, Guilin Chen, Ozcan Ozturk 0001, Mustafa Karaköy, Ugur Sezer Optimizing Array-Intensive Applications for On-Chip Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF On-chip multiprocessor, adaptive loop parallelization, embedded systems, energy consumption, integer linear programming, constrained optimization
18Jian Li 0059, José F. Martínez Power-performance considerations of parallel computing on chip multiprocessors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Voltage/frequency scaling, granularity, parallel efficiency
18Juan Chen 0001, Yong Dong, Xuejun Yang, Dan Wu A Compiler-Directed Energy Saving Strategy for Parallelizing Applications in On-Chip Multiprocessors. Search on Bibsonomy ISPDC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Lawrence Spracklen, Yuan Chou, Santosh G. Abraham Effective Instruction Prefetching in Chip Multiprocessors for Modern Commercial Applications. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18David A. Bader, Kamesh Madduri Design and Implementation of the HPCS Graph Analysis Benchmark on Symmetric Multiprocessors. Search on Bibsonomy HiPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Mahmut T. Kandemir, Guangyu Chen, Feihui Li, I. Demirkiran Using data replication to reduce communication energy on chip multiprocessors. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Ozcan Ozturk 0001, Mahmut T. Kandemir, G. Chen, Mary Jane Irwin, Mustafa Karaköy Customized on-chip memories for embedded chip multiprocessors. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Scott Schneider 0001, Christos D. Antonopoulos, Dimitrios S. Nikolopoulos Factory: An Object-Oriented Parallel Programming Substrate for Deep Multiprocessors. Search on Bibsonomy HPCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Multithreading substrate, Object-oriented parallel programming, Deep parallel architectures, Multiparadigm parallelism, Portability, Programmability
18Alberto Ros 0001, Manuel E. Acacio, José M. García 0001 A Novel Lightweight Directory Architecture for Scalable Shared-Memory Multiprocessors. Search on Bibsonomy Euro-Par The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Guojing Cong, David A. Bader An Experimental Study of Parallel Biconnected Components Algorithms on Symmetric Multiprocessors (SMPs). Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Robert L. McGregor, Christos D. Antonopoulos, Dimitrios S. Nikolopoulos Scheduling Algorithms for Effective Thread Pairing on Hybrid Multiprocessors. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18UmaMaheswari C. Devi, James H. Anderson Desynchronized Pfair Scheduling on Multiprocessors. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Neal K. Bambha, Shuvra S. Bhattacharyya Communication strategies for shared-bus embedded multiprocessors. Search on Bibsonomy EMSOFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF scheduling, dataflow, interprocessor communication
Displaying result #301 - #400 of 3723 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license