The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for timing with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1962-1969 (16) 1970-1974 (17) 1975-1976 (20) 1977-1979 (20) 1980-1982 (26) 1983 (15) 1984-1985 (49) 1986 (40) 1987 (40) 1988 (60) 1989 (70) 1990 (106) 1991 (108) 1992 (98) 1993 (105) 1994 (169) 1995 (226) 1996 (211) 1997 (250) 1998 (263) 1999 (334) 2000 (379) 2001 (385) 2002 (598) 2003 (642) 2004 (803) 2005 (881) 2006 (1067) 2007 (1017) 2008 (1041) 2009 (734) 2010 (469) 2011 (452) 2012 (403) 2013 (441) 2014 (427) 2015 (454) 2016 (479) 2017 (486) 2018 (495) 2019 (475) 2020 (429) 2021 (411) 2022 (406) 2023 (432) 2024 (96)
Publication types (Num. hits)
article(5049) book(9) data(2) incollection(43) inproceedings(10844) phdthesis(177) proceedings(21)
Venues (Conferences, Journals, ...)
PATMOS(927) DAC(547) IEEE Trans. Comput. Aided Des....(462) ICCAD(338) CoRR(336) DATE(336) ASP-DAC(223) IEEE Trans. Commun.(210) IEEE Trans. Very Large Scale I...(198) ISCAS(197) ISQED(179) VLSI Design(142) ISPD(131) RTSS(127) ICCD(126) ACM Great Lakes Symposium on V...(125) More (+10 of total 2487)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 9063 occurrences of 3443 keywords

Results
Found 16149 publication records. Showing 16145 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
30Mary L. Bailey A time-based model for investigating parallel logic-level simulation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
30Byron Krauter, David Widiger Variable frequency crosstalk noise analysis: : a methodology to guarantee functionality from dc to fmax. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF GCD frequency, LCM window, frequency-dependent noise, timing orthogonality, crosstalk, noise analysis, timing windows
30Abhijit Das On the Transistor Sizing Problem. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Timing Analysis, Timing Optimization, Transistor Sizing, Delay Constraint
30Chanjung Park, Seog Park A multiversion locking protocol for real-time databases with multilevel security. Search on Bibsonomy RTCSA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multiversion locking protocol, processes transactions, explicit timing constraints, logical data consistency, compatibility matrix, version selection algorithm, data integrity, timing constraints, multilevel security, real-time databases, temporal consistency
30Chris J. Myers, Tomas Rokicki, Teresa H.-Y. Meng Automatic synthesis of gate-level timed circuits with choice. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF gate-level timed circuits, C-elements, explicit timing information, textual specification, conditional operation, reachable state space, semi-custom components, timing, logic CAD, asynchronous circuits, asynchronous circuits, circuit CAD, cellular arrays, circuit complexity, logic arrays, graphical representation, standard-cells, CAD tool, automatic synthesis, gate-arrays, state-space methods, AND gates, OR gates
30Bernd J. Krämer, Luqi, Valdis Berzins Compositional Semantics of a Real-Time Prototyping Language. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF real-time prototyping language, PSDL, data flow notation, application-orientation timing, control constraints, algebraic high-level Petri nets, concurrency concepts, real-time systems, formal specification, Petri nets, synchronization, specification languages, abstract data types, abstract data types, formal semantics, algebraic specifications, software prototyping, hard real-time systems, compositional semantics, timing behavior
29Jing-Jia Liou, Angela Krstic, Li-C. Wang, Kwang-Ting Cheng False-path-aware statistical timing analysis and efficient path selection for delay testing and timing validation. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF critical path selection, statistical timing analysis, false path
28John Sartori, Rakesh Kumar 0002 Overscaling-friendly timing speculation architectures. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF stochastic processors, timing speculation, adaptability
28Guihai Yan, Xiaoyao Liang, Yinhe Han 0001, Xiaowei Li 0001 Leveraging the core-level complementary effects of PVT variations to reduce timing emergencies in multi-core processors. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF complimentary effects, delay sensor, pvt variations, timing emergency, thread migration
28Chao-Hsuan Hsu, Chester Liu, En-Hua Ma, James Chien-Mo Li Static timing analysis for flexible TFT circuits. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF flexible electronics, static timing analysis
28Scott A. Crosby, Dan S. Wallach, Rudolf H. Riedi Opportunities and Limits of Remote Timing Attacks. Search on Bibsonomy ACM Trans. Inf. Syst. Secur. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF jitter, timing attacks, Information leakage
28Eun Cheol Kim, Jae Sang Cha, Jin Young Kim 0001, Young Dae Lee, YouSik Hong, Jeong Jin Kang Robust timing jitter control method for network synchronization. Search on Bibsonomy ICHIT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF network synchronization, slave, timing jitter control, delay, master
28Wei Dong, Jiandong Li 0001, Zhuo Lu Joint timing error, frequency offset and channel estimation for MIMO systems. Search on Bibsonomy IWCMC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF frequency offset, MIMO, channel estimation, timing error
28Billy Bob Brumley, Risto M. Hakala Cache-Timing Template Attacks. Search on Bibsonomy ASIACRYPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cache-timing attacks, elliptic curve cryptography, side channel attacks
28Emilia Käsper, Peter Schwabe Faster and Timing-Attack Resistant AES-GCM. Search on Bibsonomy CHES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Galois/Counter mode, cache-timing attacks, AES, fast implementations
28Ayhan A. Mutlu, Jiayong Le, Ruben Molina, Mustafa Celik A parametric approach for handling local variation effects in timing analysis. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF on chip variation (OCV), timing, parametric analysis
28Mihir R. Choudhury, Kartik Mohanram Timing-driven optimization using lookahead logic circuits. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF logic synthesis, timing optimization, lookahead
28Michael Glaß, Martin Lukasiewycz, Jürgen Teich, Unmesh D. Bordoloi, Samarjit Chakraborty Designing heterogeneous ECU networks via compact architecture encoding and hybrid timing analysis. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF design space exploration, timing analysis, automotive
28Tasuku Nagai, Naoya Onizawa, Takahiro Hanyu High-Speed Timing Verification Scheme Using Delay Tables for a Large-Scaled Multiple-Valued Current-Mode Circuit. Search on Bibsonomy ISMVL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Verilog-AMS, Static timing analysis, Look-up table
28Hao Li, Yue Zhuo Criticality history guided FPGA placement algorithm for timing optimization. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF fpga, placement, timing optimization
28Safar Hatami, Hamed Abrishami, Massoud Pedram Statistical timing analysis of flip-flops considering codependent setup and hold times. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF codependency, hold time, piecewise linear, statistical static timing analysis (SSTA), probability, process variations, setup time
28Pouria Bastani, Nicholas Callegari, Li-C. Wang, Magdy S. Abadir Statistical diagnosis of unmodeled systematic timing effects. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF statistical diagnosis, learning, timing, delay test
28Onur Aciiçmez, Werner Schindler, Çetin Kaya Koç Cache Based Remote Timing Attack on the AES. Search on Bibsonomy CT-RSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Remote Attack, AES, Timing Analysis, Side Channel Analysis, Cache Attack
28Charles J. Alpert, Andrew B. Kahng, Cliff C. N. Sze, Qinke Wang Timing-driven Steiner trees are (practically) free. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF arborescence, timing-driven, rectilinear Steiner tree
28Baosheng Wang, Andy Kuo, Touraj Farahmand, André Ivanov, Yong B. Cho, Sassan Tabatabaei A Realistic Timing Test Model and Its Applications in High-Speed Interconnect Devices. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF timing specifications testing, test environment, tester OTA and yield, high-speed interconnect testing, yield analysis
28Onur Aciiçmez, Werner Schindler, Çetin Kaya Koç Improving Brumley and Boneh timing attack on unprotected SSL implementations. Search on Bibsonomy CCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF RSA, timing attacks, side-channel cryptanalysis
28Soroush Abbaspour, Hanif Fatemi, Massoud Pedram VITA: variation-aware interconnect timing analysis for symmetric and skewed sources of variation considering variational ramp input. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF moment calculation, sources of variation, sensitivity, statistical timing analysis, elmore delay
28Yaping Zhan, Andrzej J. Strojwas, Xin Li 0001, Lawrence T. Pileggi, David Newmark, Mahesh Sharma Correlation-aware statistical timing analysis with non-gaussian delay distributions. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF process variation, statistical timing
28Chirayu S. Amin, Noel Menezes, Kip Killpack, Florentin Dartu, Umakanta Choudhury, Nagib Hakim, Yehea I. Ismail Statistical static timing analysis: how simple can we get? Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF statistical static timing analysis (SSTA), process variations
28Serdar Cabuk, Carla E. Brodley, Clay Shields IP covert timing channels: design and detection. Search on Bibsonomy CCS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF network covert channels, TCP/IP, detection, covert timing channels
28Kundan Nepal, Hui-Yuan Song, R. Iris Bahar, Joel Grodstein RESTA: a robust and extendable symbolic timing analysis tool. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF input constraints, symbolic CAD, timing analysis, decision diagrams
28An Yu, David S. Brée A Clock-less Implementation of the AES Resists to Power and Timing Attacks. Search on Bibsonomy ITCC (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF clock-less circuits, AES, timing attacks, cryptosystems, power attacks
28Jiayong Le, Xin Li 0001, Lawrence T. Pileggi STAC: statistical timing analysis with correlation. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF process variation, statistical timing
28Takahiro J. Yamaguchi, Masahiro Ishida, Mani Soma, Louis Malarsie, Hirobumi Musha Timing Jitter Measurement of Intrinsic Random Jitter and Sinusoidal Jitter Using Frequency Division. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF communication devices test, high frequency test, jitter test, timing jitter
28Jia Xu Making Software Timing Properties Easier to Inspect and Verify. Search on Bibsonomy IEEE Softw. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF preruntime scheduling, verification, real time, predictability, software, code, inspection, undecidability, timing properties, state space explosion
28Yasuyuki Sakai, Kouichi Sakurai Timing Attack against Implementation of a Parallel Algorithm for Modular Exponentiation. Search on Bibsonomy ACNS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Parallel modular exponentiation, Side channel attack, Montgomery multiplication, Timing attack, RSA cryptosystems
28Karthik Rajagopal, Tal Shaked, Yegna Parasuram, Tung Cao, Amit Chowdhary, Bill Halpin Timing driven force directed placement with physical net constraints. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF net constraints, timing driven placement, force directed placement
28Angela Krstic, Li-C. Wang, Kwang-Ting Cheng, Jing-Jia Liou, T. M. Mak Enhancing diagnosis resolution for delay defects based upon statistical timing and statistical fault models. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF delay ATPG, delay fault diagnosis, statistical timing models
28Seokjin Lee, D. F. Wong 0001 Timing-driven routing for FPGAs based on Lagrangian relaxation. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF timing-driven routing, FPGA, Lagrangian relaxation
28Oded Goldreich 0001 Concurrent zero-knowledge with timing, revisited. Search on Bibsonomy STOC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF black-box simulation, proofs versus arguments, timing assumptions, zero-Knowledge, parallel composition, concurrent composition
28Jörg Fischer 0002, Stefan Conrad 0001 Formalizing Timing Diagrams as Causal Dependencies for Verification Purposes. Search on Bibsonomy IFM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF hardware and software design, relational semantics, formal semantics, dynamic logic, timing diagrams, integrated verification, causal dependencies
28Peivand F. Tehrani, Shang Woo Chyou, Uma Ekambaram Deep Sub-Micron Static Timing Analysis in Presence of Crosstalk. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF simulation, VLSI, timing, Crosstalk, DSM, static, transistor
28Byungwoo Choi, D. M. H. Walker Timing Analysis of Combinational Circuits Including Capacitive Coupling and Statistical Process Variation. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF interconnect coupling, delay fault model, process variation, timing analysis, delay fault test
28Werner Schindler A Timing Attack against RSA with the Chinese Remainder Theorem. Search on Bibsonomy CHES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF RSA, Chinese Remainder Theorem, Montgomery multiplication, Timing attack
28Helena Handschuh, Howard M. Heys A Timing Attack on RC5. Search on Bibsonomy Selected Areas in Cryptography The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Cryptanalysis, Block Cipher, Timing Attacks
28Maroun Kassab, Eduard Cerny, Sidi Aourid, Thomas H. Krodel Propagation of Last-Transition-Time Constraints in Gate-Level Timing Analysis. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Waveforms, Graph Dominators, Domain narrowing, Formal Verification, Timing Verification, Combinational Logic circuits
28Yuji Kukimoto, Wilsin Gosti, Alexander Saldanha, Robert K. Brayton Approximate timing analysis of combinational circuits under the XBD0 model. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF delay computation, timing analysis, False path
28Minsoo Ryu, Seongsoo Hong, Manas Saksena Streamlining real-time controller design: From performance specifications to end-to-end timing constraints. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF real-time controller design, performance specifications, end-to-end timing constraints, control theoretic approach, schedulability constraint, control output responses, steady state error maximum overshoot, rise time, loop processing periods, input-to-output latency, heuristic optimization algorithm, embedded real-time controller, period calibration method, real-time systems, performance requirements, control performance, real-time control system, temporal requirements, settling time
28Paul C. Kocher Timing Attacks on Implementations of Diffie-Hellman, RSA, DSS, and Other Systems. Search on Bibsonomy CRYPTO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF cryptanalysis, DSS, RSA, Timing attack, Diffie-Hellman
28Sheng-Tzong Cheng, Chia-Mei Chen A Cyclic Scheduling for Relative Timing Requirements. Search on Bibsonomy ICECCS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF relative timing constraints, Scheduling, real-time, jitters, allocation
28Jaewon Kim, Sung-Mo Kang A timing-driven data path layout synthesis with integer programming. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF routing, integer programming, timing-driven placement, data path, bit-slice
28P. Johannes, P. Das, Luc J. M. Claesen, Hugo De Man SLOCOP-II: a versatile timing verification system for MOSVLSI. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF Static timing verification
28Abhik Sarkar, Frank Mueller 0001, Harini Ramaprasad, Sibin Mohan Push-assisted migration of real-time tasks in multi-core processors. Search on Bibsonomy LCTES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF task migration., real-time systems, timing analysis, multi-core architectures
28Joachim Haß, Stefan Blaschke, Thomas Rammsayer, J. Michael Herrmann A neurocomputational model for optimal temporal processing. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Representation of time, Synaptic competition, STDP, Timing errors, Synfire chains
28Ting-Yuan Wang, Jeng-Liang Tsai, Charlie Chung-Ping Chen Sensitivity guided net weighting for placement driven synthesis. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnect, sensitivity analysis, physical synthesis, timing driven placement, net weight
28Haoxing Ren, David Zhigang Pan, David S. Kung 0001 Sensitivity guided net weighting for placement driven synthesis. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnect, sensitivity analysis, physical synthesis, timing driven placement, net weight
28Marco A. Peña, Jordi Cortadella, Enric Pastor, Alex Kondratyev Formal Verification of Safety Properties in Timed Circuits. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Formal verification, asynchronous circuits, timing analysis
27Debasish Das, Kip Killpack, Chandramouli V. Kashyap, Abhijit Jas, Hai Zhou 0001 Pessimism reduction in coupling-aware static timing analysis using timing and logic filtering. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Chin-Hsiung Hsu, Szu-Jui Chou, Jie-Hong Roland Jiang, Yao-Wen Chang A Statistical Approach to the Timing-Yield Optimization of Pipeline Circuits. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Amit Goel, Sarvesh Bhardwaj, Praveen Ghanta, Sarma B. K. Vrudhula Computation of Joint Timing Yield of Sequential Networks Considering Process Variations. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27William R. Roberts, Dimitrios Velenis Parameter Variation Effects on Timing Characteristics of High Performance Clocked Registers. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Masahiro Murakawa, Eiichi Takahashi, Tatsuya Susa, Tetsuya Higuchi Post-fabrication clock timing adjustment for digital LSIs with genetic algorithms ensuring timing margins. Search on Bibsonomy SMC (4) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Howard Chen 0001, Daniel L. Ostapko Modeling Temporal and Spatial Power Supply Voltage Variation for Timing Analysis. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Anne E. Gattiker, Sani R. Nassif, Rashmi Dinakar, Chris Long Timing Yield Estimation from Static Timing Analysis. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Min Zhao 0001, Sachin S. Sapatnekar Timing-driven partitioning and timing optimization of mixedstatic-domino implementations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Jacques Benkoski, Andrzej J. Strojwas Timing Verification by Formal Signal Interaction Modeling in a Multi-level Timing Simulator. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
27Huaiyu Zhu 0002, Yong Chen 0001, Xian-He Sun Timing local streams: improving timeliness in data prefetching. Search on Bibsonomy ICS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF prefetching performance, prefetching simulation, cache memory, data prefetching
27Michael J. Anderson, Azadeh Davoodi, Jungseob Lee, Abhishek A. Sinkar, Nam Sung Kim Statistical static timing analysis considering leakage variability in power gated designs. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF process variations, leakage, power gating, ssta
27Gregor Leander, Erik Zenner, Philip Hawkes Cache Timing Analysis of LFSR-Based Stream Ciphers. Search on Bibsonomy IMACC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Keisuke Inoue, Mineo Kaneko, Tsuyoshi Iwagaki Safe clocking for the setup and hold timing constraints in datapath synthesis. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ordered clocking, register assignment, datapath synthesis
27Lei Ju 0001, Bach Khoa Huynh, Samarjit Chakraborty, Abhik Roychoudhury Context-sensitive timing analysis of Esterel programs. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF worst-case execution time (WCET) analysis, Esterel, synchronous programming
27David A. Papa, Tao Luo 0002, Michael D. Moffitt, Chin Ngai Sze, Zhuo Li 0001, Gi-Joon Nam, Charles J. Alpert, Igor L. Markov RUMBLE: An Incremental Timing-Driven Physical-Synthesis Optimization Algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Jin-Tai Yan Timing-driven octilinear Steiner tree construction based on Steiner-point reassignment and path reconstruction. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF octilinear Steiner tree, Global routing, Elmore delay, Steiner points
27Sebastian Altmeyer, Christian Humbert, Björn Lisper, Reinhard Wilhelm Parametric Timing Analysis for Complex Architectures. Search on Bibsonomy RTCSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Farinaz Koushanfar, Petros Boufounos, Davood Shamsi Post-silicon timing characterization by compressed sensing. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27An Hu, Fei Yuan Inter-signal timing skew compensation of parallel links with voltage-mode incremental signaling. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Savithri Sundareswaran, Lucie Nechanicka, Rajendran Panda, Sergey Gavrilov, Roman A. Solovyev, Jacob A. Abraham A timing methodology considering within-die clock skew variations. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Ke Cao, Jiang Hu, Mosong Cheng Wire Sizing and Spacing for Lithographic Printability and Timing Optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Yuki Wakuda, Akiko Noda, Kosuke Sekiyama, Yasuhisa Hasegawa, Toshio Fukuda Biorhythm-Based Awakening Timing Modulation. Search on Bibsonomy ICRA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Ruiming Chen, Hai Zhou 0001 Timing budgeting under arbitrary process variations. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Hongjiang Wang, Fei Ji, Shengming Jiang, Liying Huang, Gang Wei stability analysis of timing acquisition methods with multi-hypothesis in indoor UWB multipath and MAI channel. Search on Bibsonomy SNPD (3) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Tim Schattkowsky, Gregor Engels, Alexander Förster A Model-Based Approach for Platform-Independent Binary Components with Precise Timing and Fine-Grained Concurrency. Search on Bibsonomy HICSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Ricardo de Moraes, Paulo Portugal, Stefano Vitturi, Francisco Vasques, Pedro F. Souto Real-Time Communication in IEEE 802.11 Networks: Timing Analysis and a Ring Management Scheme for the VTP-CSMA Architecture. Search on Bibsonomy LCN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Dhiraj Goswami, Kun-Han Tsai, Mark Kassab, Janusz Rajski Test Generation in the Presence of Timing Exceptions and Constraints. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Henrik Eriksson, Per Larsson-Edefors, Daniel Eckerbert Toward architecture-based test-vector generation for timing verification of fast parallel multipliers. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Debjit Sinha, Hai Zhou 0001 Gate-size optimization under timing constraints for coupling-noise reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Lizheng Zhang, Weijen Chen, Yuhen Hu, John A. Gubner, Charlie Chung-Ping Chen Correlation-Preserved Statistical Timing With a Quadratic Form of Gaussian Variables. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Uzoma Onunkwo, Ye (Geoffrey) Li, Ananthram Swami Effect of timing jitter on OFDM-based UWB systems. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Yeonsu Kang, Do-Seob Ahn, Ho-Jin Lee OFDM channel estimation with timing offset for satellite plus terrestrial multipath channels. Search on Bibsonomy VTC Spring The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Rafik Henia, Rolf Ernst Improved offset-analysis using multiple timing-references. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Soroush Abbaspour, Hanif Fatemi, Massoud Pedram Parameterized block-based non-gaussian statistical gate timing analysis. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Minsik Cho, David Z. Pan, Hua Xiang 0001, Ruchir Puri Wire density driven global routing for CMP variation and timing. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF performance, VLSI, manufacturability, global routing
27Honguk Woo, Aloysius K. Mok, Chan-Gun Lee A Generic Framework for Monitoring Timing Constraints over Uncertain Events. Search on Bibsonomy RTSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Jin-Tai Yan, Chia-Fang Lee, Yen-Hsiang Chen Dynamic Tree Reconstruction with Application to Timing-Constrained Congestion-Driven Global Routing. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Tao Luo 0002, David Newmark, David Z. Pan A new LP based incremental timing driven placement for high performance designs. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Sibin Mohan, Frank Mueller 0001, William Hawkins, Michael Root, Christopher A. Healy, David B. Whalley ParaScale: Exploiting Parametric Timing Analysis for Real-Time Schedulers and Dynamic Voltage Scaling. Search on Bibsonomy RTSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Jingyu Xu, Xianlong Hong, Tong Jing Timing-driven global routing with efficient buffer insertion. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Hao Zhou, Yih-Fang Huang Fine timing synchronization using power delay profile for OFDM systems. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Li-C. Wang, Jing-Jia Liou, Kwang-Ting Cheng Critical path selection for delay fault testing based upon a statistical timing model. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Zhiyuan Wang, Malgorzata Marek-Sadowska, Kun-Han Tsai, Janusz Rajski Delay Fault Diagnosis Using Timing Information. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 16145 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license