|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 8773 occurrences of 3334 keywords
|
|
|
Results
Found 18073 publication records. Showing 18073 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
25 | Young-Su Kwon, Young-Il Kim, Chong-Min Kyung |
Systematic functional coverage metric synthesis from hierarchical temporal event relation graph. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004, pp. 45-48, 2004, ACM, 1-58113-828-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
semi-formal verification, temporal event, functional coverage |
25 | Mitrajit Chatterjee, Dhiraj K. Pradhan |
A BIST Pattern Generator Design for Near-Perfect Fault Coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 52(12), pp. 1543-1558, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
core logic, built-in self-test, synthesis, fault coverage, Linear feedback shift registers, test pattern generation, scan, SOC |
25 | Caroline M. Eastman, Bernard J. Jansen |
Coverage, relevance, and ranking: The impact of query operators on Web search engine results. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Inf. Syst. ![In: ACM Trans. Inf. Syst. 21(4), pp. 383-411, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Relative precision, Web results, search engines, ranking, coverage, Boolean operators, query operators |
25 | James A. Jones, Mary Jean Harrold |
Test-Suite Reduction and Prioritization for Modified Condition/Decision Coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 29(3), pp. 195-209, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
test-suite prioritization, modified condition/decision coverage, testing, Test-suite reduction, critical software |
25 | Nikhil Jayakumar, Mitra Purandare, Fabio Somenzi |
Dos and don'ts of CTL state coverage estimation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 40th Design Automation Conference, DAC 2003, Anaheim, CA, USA, June 2-6, 2003, pp. 292-295, 2003, ACM, 1-58113-688-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
vacuity detection, model checking, state coverage |
25 | Shai Fine, Avi Ziv |
Coverage directed test generation for functional verification using bayesian networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 40th Design Automation Conference, DAC 2003, Anaheim, CA, USA, June 2-6, 2003, pp. 286-291, 2003, ACM, 1-58113-688-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
bayesian networks, functional verification, coverage analysis |
25 | Zaiqing Nie, Ullas Nambiar, Sreelakshmi Vaddi, Subbarao Kambhampati |
Mining coverage statistics for websource selection in a mediator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIKM ![In: Proceedings of the 2002 ACM CIKM International Conference on Information and Knowledge Management, McLean, VA, USA, November 4-9, 2002, pp. 678-680, 2002, ACM. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
coverage statistics, web-based data integration, webmining to support query optimization |
25 | Sven Oliver Krumke, Madhav V. Marathe, Diana Poensgen, S. S. Ravi, Hans-Christoph Wirth |
Budgeted Maximum Graph Coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WG ![In: Graph-Theoretic Concepts in Computer Science, 28th International Workshop, WG 2002, Cesky Krumlov, Czech Republic, June 13-15, 2002, Revised Papers, pp. 321-332, 2002, Springer, 3-540-00331-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
budgeted maximum coverage, approximation algorithm, integer linear programming, treewidth, maximum weight matching |
25 | Paolo Azzoni, Andrea Fedeli, Franco Fummi, Graziano Pravadelli, Umberto Rossi, Franco Toto |
An error simulation based approach to measure error coverage of formal properties. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 12th ACM Great Lakes Symposium on VLSI 2002, New York, NY, USA, April 18-19, 2002, pp. 53-58, 2002, ACM, 1-58113-462-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
error coverage, error simulation, property checking |
25 | Alan W. Williams, Robert L. Probert |
A Measure for Component Interaction Test Coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AICCSA ![In: 2001 ACS / IEEE International Conference on Computer Systems and Applications (AICCSA 2001), 26-29 June 2001, Beirut, Lebanon, pp. 304-312, 2001, IEEE Computer Society, 0-7695-1165-1. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Software engineering, interactions, test coverage, system testing |
25 | Anna Maria Brosa, Joan Figueras |
On Maximizing the Coverage of Catastrophic and Parametric Faults. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 16(3), pp. 251-258, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
analog & mixed-signal testing, fault coverage, set covering problems |
25 | Chien-Nan Jimmy Liu, Jing-Yang Jou |
An Efficient Functional Coverage Test for HDL Descriptions at RTL. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: Proceedings of the IEEE International Conference On Computer Design, VLSI in Computers and Processors, ICCD '99, Austin, Texas, USA, October 10-13, 1999, pp. 325-327, 1999, IEEE Computer Society, 0-7695-0406-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
verification, coverage, FSM, HDL |
25 | Wen-Ben Jone, Yun-Pan Ho, Sunil R. Das |
Delay Fault Coverage Enhancement Using Variable Observation Times. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 11(2), pp. 131-146, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
statistical delay fault coverage, delay test observation times, delay fault testing |
25 | Sudhakar M. Reddy, Irith Pomeranz, Seiji Kajihara |
On the effects of test compaction on defect coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 14th IEEE VLSI Test Symposium (VTS'96), April 28 - May 1, 1996, Princeton, NJ, USA, pp. 430-437, 1996, IEEE Computer Society, 0-8186-7304-4. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
surrogate faults, fault diagnosis, test generation, integrated circuit testing, fault modeling, test sets, test compaction, defect coverage |
25 | Mitrajit Chatterjee, Dhiraj K. Pradhan |
A novel pattern generator for near-perfect fault-coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 13th IEEE VLSI Test Symposium (VTS'95), April 30 - May 3, 1995, Princeton, New Jersey, USA, pp. 417-425, 1995, IEEE Computer Society, 0-8186-7000-2. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
near-perfect fault-coverage, onchip BIST, GLFSR, logic mapping technique, weighted pattern technique, logic testing, built-in self test, integrated circuit testing, design methodology, combinational circuits, automatic testing, integrated logic circuits, shift registers, combinational logic, digital integrated circuits, pattern generator, single stuck-at fault |
24 | Yingshu Li, Chinh T. Vu, Chunyu Ai, Guantao Chen, Yi Zhao 0005 |
Transforming Complete Coverage Algorithms to Partial Coverage Algorithms for Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 22(4), pp. 695-703, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
Partial coverage, wireless sensor networks, energy efficiency |
23 | Andreas Holzer, Christian Schallhart, Michael Tautschnig, Helmut Veith |
Query-Driven Program Testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VMCAI ![In: Verification, Model Checking, and Abstract Interpretation, 10th International Conference, VMCAI 2009, Savannah, GA, USA, January 18-20, 2009. Proceedings, pp. 151-166, 2009, Springer, 978-3-540-93899-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
23 | Mohammad Hossein Manshaei, Julien Freudiger, Márk Félegyházi, Peter Marbach, Jean-Pierre Hubaux |
On Wireless Social Community Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
INFOCOM ![In: INFOCOM 2008. 27th IEEE International Conference on Computer Communications, Joint Conference of the IEEE Computer and Communications Societies, 13-18 April 2008, Phoenix, AZ, USA, pp. 1552-1560, 2008, IEEE, 978-1-4244-2026-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Yongjun Li 0002, Shanghong Zhao 0001, Jili Wu, Tian Li, Rui Hou, Lan Liu |
Designing of a Novel Optical Two-Layered Satellite Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSSE (4) ![In: International Conference on Computer Science and Software Engineering, CSSE 2008, Volume 4: Embedded Programming / Database Technology / Neural Networks and Applications / Other Applications, December 12-14, 2008, Wuhan, China, pp. 976-979, 2008, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Sriram Chellappan, Xiaole Bai, Bin Ma 0002, Dong Xuan, Changqing Xu |
Mobility Limited Flip-Based Sensor Networks Deployment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 18(2), pp. 199-211, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Sensor networks deployment, limited mobility, flip-based sensors |
23 | Danilo Ravotto, Edgar E. Sánchez, Massimiliano Schillaci, Matteo Sonza Reorda, Giovanni Squillero |
On Automatic Test Block Generation for Peripheral Testing in SoCs via Dynamic FSMs Extraction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MTV ![In: Eighth International Workshop on Microprocessor Test and Verification (MTV 2007), Common Challenges and Solutions, 5-6 December 2007, Austin, Texas, USA, pp. 71-76, 2007, IEEE Computer Society, 978-0-7695-3241-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Noah Bamford, Rekha Bangalore, Eric Chapman, Hector Chavez, Rajeev Dasari, Yinfang Lin, Edgar Jimenez |
Challenges in System on Chip Verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MTV ![In: Seventh International Workshop on Microprocessor Test and Verification (MTV 2006), Common Challenges and Solutions, 4-5 December 2006, Austin, Texas, USA, pp. 52-60, 2006, IEEE Computer Society, 978-0-7695-2839-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Willem Visser, Corina S. Pasareanu, Radek Pelánek |
Test input generation for java containers using state matching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSTA ![In: Proceedings of the ACM/SIGSOFT International Symposium on Software Testing and Analysis, ISSTA 2006, Portland, Maine, USA, July 17-20, 2006, pp. 37-48, 2006, ACM, 1-59593-263-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Hong Li, Peter Santago |
Automatic Colon Segmentation with Dual Scan CT Colonography. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Digit. Imaging ![In: J. Digit. Imaging 18(1), pp. 42-54, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Computed tomographic colonography, polyp detection, 3-D segmentation, region-growing, marching-cubes |
23 | Sayantan Das 0001, Ansuman Banerjee, Prasenjit Basu, Pallab Dasgupta, P. P. Chakrabarti 0001, Chunduri Rama Mohan, Limor Fix |
Formal Methods for Analyzing the Completeness of an Assertion Suite against a High-Level Fault Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 18th International Conference on VLSI Design (VLSI Design 2005), with the 4th International Conference on Embedded Systems Design, 3-7 January 2005, Kolkata, India, pp. 201-206, 2005, IEEE Computer Society, 0-7695-2264-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Mohamed A. Gomaa, T. N. Vijaykumar |
Opportunistic Transient-Fault Detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 32st International Symposium on Computer Architecture (ISCA 2005), 4-8 June 2005, Madison, Wisconsin, USA, pp. 172-183, 2005, IEEE Computer Society, 978-0-7695-2270-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Alex Hills, Jon Schlegel, Ben Jenkins |
Estimating signal strengths in the design of an indoor wireless network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Wirel. Commun. ![In: IEEE Trans. Wirel. Commun. 3(1), pp. 17-19, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
23 | Atanas Rountev, Ana L. Milanova, Barbara G. Ryder |
Fragment Class Analysis for Testing of Polymorphism in Java Software. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 30(6), pp. 372-387, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
23 | Pradip A. Thaker, Vishwani D. Agrawal, Mona E. Zaghloul |
A test evaluation technique for VLSI circuits using register-transfer level fault modeling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(8), pp. 1104-1113, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
23 | Giacomino Veltri, Qingfeng Huang, Gang Qu 0001, Miodrag Potkonjak |
Minimal and maximal exposure path algorithms for wireless embedded sensor networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SenSys ![In: Proceedings of the 1st International Conference on Embedded Networked Sensor Systems, SenSys 2003, Los Angeles, California, USA, November 5-7, 2003, pp. 40-50, 2003, ACM, 1-58113-707-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
centralized optimal algorithms, wireless embedded sensor networks, simulation, localized algorithms |
23 | Guiling Wang 0001, Guohong Cao, Thomas F. La Porta |
A Bidding Protocol for Deploying Mobile Sensors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICNP ![In: 11th IEEE International Conference on Network Protocols (ICNP 2003), 4-7 November 2003, Atlanta, GA, USA, pp. 315-, 2003, IEEE Computer Society, 0-7695-2024-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
23 | Jin-Cherng Lin, Ling-Hsuan Huang |
A Table Reduction Approach for Software Structure Testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSM ![In: 18th International Conference on Software Maintenance (ICSM 2002), Maintaining Distributed Heterogeneous Systems, 3-6 October 2002, Montreal, Quebec, Canada, pp. 414-421, 2002, IEEE Computer Society, 0-7695-1819-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
23 | Ta-Chung Chang, Vikram Iyengar, Elizabeth M. Rudnick |
A Biased Random Instruction Generation Environment for Architectural Verification of Pipelined Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 16(1-2), pp. 13-27, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
architectural verification, biased random instruction generation, correctness checking, design error coverage, design verification, coverage metrics |
23 | Gregory Von Pless, Zack Butler 0001 |
Adaptive expert systems for indirect coverage control. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICRA ![In: 2009 IEEE International Conference on Robotics and Automation, ICRA 2009, Kobe, Japan, May 12-17, 2009, pp. 1724-1730, 2009, IEEE. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
23 | Ahmet Yazici, Gokhan Kirlik, Osman Parlaktuna, Aydin Sipahioglu |
A dynamic path planning approach for multi-robot sensor-based coverage considering energy constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IROS ![In: 2009 IEEE/RSJ International Conference on Intelligent Robots and Systems, October 11-15, 2009, St. Louis, MO, USA, pp. 5930-5935, 2009, IEEE, 978-1-4244-3803-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
23 | Young-Ho Choi, Tae-Kyeong Lee, Sanghoon Baek, Se-Young Oh |
Online complete coverage path planning for mobile robots based on linked spiral paths using constrained inverse distance transform. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IROS ![In: 2009 IEEE/RSJ International Conference on Intelligent Robots and Systems, October 11-15, 2009, St. Louis, MO, USA, pp. 5788-5793, 2009, IEEE, 978-1-4244-3803-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
23 | Zhen Chen, Boxue Yin, Dong Xiang |
Conflict driven scan chain configuration for high transition fault coverage and low test power. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 14th Asia South Pacific Design Automation Conference, ASP-DAC 2009, Yokohama, Japan, January 19-22, 2009, pp. 666-671, 2009, IEEE, 978-1-4244-2748-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
23 | Chang-Wu Lee, Sung-Won Kim, Heon-Jong Lee, Youn-Hee Han, Doo-Soon Park, Young-Sik Jeong |
Visualization of the Constrained Coverage of Mobile Sensor Networks Based on GML. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSE (2) ![In: Proceedings of the 12th IEEE International Conference on Computational Science and Engineering, CSE 2009, Vancouver, BC, Canada, August 29-31, 2009, pp. 603-608, 2009, IEEE Computer Society, 978-1-4244-5334-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
23 | Juan Caballero, Zhenkai Liang, Pongsin Poosankam, Dawn Song |
Towards Generating High Coverage Vulnerability-Based Signatures with Protocol-Level Constraint-Guided Exploration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RAID ![In: Recent Advances in Intrusion Detection, 12th International Symposium, RAID 2009, Saint-Malo, France, September 23-25, 2009. Proceedings, pp. 161-181, 2009, Springer, 978-3-642-04341-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
23 | Lingming Zhang 0001, Ji Zhou, Dan Hao 0001, Lu Zhang 0023, Hong Mei 0001 |
Prioritizing JUnit test cases in absence of coverage information. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSM ![In: 25th IEEE International Conference on Software Maintenance (ICSM 2009), September 20-26, 2009, Edmonton, Alberta, Canada, pp. 19-28, 2009, IEEE Computer Society, 978-1-4244-4897-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
23 | Wei Wang 0002, Vikram Srinivasan, Kee Chaing Chua |
Coverage in Hybrid Mobile Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Mob. Comput. ![In: IEEE Trans. Mob. Comput. 7(11), pp. 1374-1387, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Jenn-Wei Lin, Yi-Ting Chen |
Improving the coverage of randomized scheduling in wireless sensor networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Wirel. Commun. ![In: IEEE Trans. Wirel. Commun. 7(12-1), pp. 4807-4812, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Andrea Gasparri, Bhaskar Krishnamachari, Gaurav S. Sukhatme |
A framework for multi-robot node coverage in sensor networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Ann. Math. Artif. Intell. ![In: Ann. Math. Artif. Intell. 52(2-4), pp. 281-305, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Mathematics Subject Classifications (2000) 93C85 |
23 | Sying-Jyan Wang, Kuo-Lin Peng, Kuang-Cyun Hsiao, Katherine Shu-Min Li |
Layout-aware scan chain reorder for launch-off-shift transition test coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 13(4), pp. 64:1-64:16, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
scan chain ordering, test generation, transition faults, Scan test |
23 | Jaan Raik, Uljana Reinsalu, Raimund Ubar, Maksim Jenihhin, Peeter Ellervee |
Code Coverage Analysis using High-Level Decision Diagrams. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DDECS ![In: Proceedings of the 11th IEEE Workshop on Design & Diagnostics of Electronic Circuits & Systems (DDECS 2008), Bratislava, Slovakia, April 16-18, 2008, pp. 201-206, 2008, IEEE Computer Society, 978-1-4244-2276-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Thomas Erlebach, Erik Jan van Leeuwen |
Approximating geometric coverage problems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SODA ![In: Proceedings of the Nineteenth Annual ACM-SIAM Symposium on Discrete Algorithms, SODA 2008, San Francisco, California, USA, January 20-22, 2008, pp. 1267-1276, 2008, SIAM. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP BibTeX RDF |
|
23 | Yuzhen Liu, Weifa Liang |
Prolonging Network Lifetime for Target Coverage in Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WASA ![In: Wireless Algorithms, Systems, and Applications, Third International Conference, WASA 2008, Dallas, TX, USA, October 26-28, 2008. Proceedings, pp. 212-223, 2008, Springer, 978-3-540-88581-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Nurcan Tezcan, Wenye Wang |
Self-Orienting Wireless Multimedia Sensor Networks for Maximizing Multimedia Coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICC ![In: Proceedings of IEEE International Conference on Communications, ICC 2008, Beijing, China, 19-23 May 2008, pp. 2206-2210, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Krishnendu Chatterjee, Luca de Alfaro, Rupak Majumdar |
The Complexity of Coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APLAS ![In: Programming Languages and Systems, 6th Asian Symposium, APLAS 2008, Bangalore, India, December 9-11, 2008. Proceedings, pp. 91-106, 2008, Springer, 978-3-540-89329-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Habib M. Ammari, Sajal K. Das 0001 |
Joint k-Coverage and Hybrid Forwarding in Duty-Cycled Three-Dimensional Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SECON ![In: Proceedings of the Fifth Annual IEEE Communications Society Conference on Sensor, Mesh and Ad Hoc Communications and Networks, SECON 2008, June 16-20, 2008, Crowne Plaza, San Francisco International Airport, California, USA, pp. 170-178, 2008, IEEE, 978-1-4244-1777-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Xiaofeng Han, Xiang Cao, Errol L. Lloyd, Chien-Chung Shen |
Deploying Directional Sensor Networks with Guaranteed Connectivity and Coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SECON ![In: Proceedings of the Fifth Annual IEEE Communications Society Conference on Sensor, Mesh and Ad Hoc Communications and Networks, SECON 2008, June 16-20, 2008, Crowne Plaza, San Francisco International Airport, California, USA, pp. 153-160, 2008, IEEE, 978-1-4244-1777-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Paul Ammann, Jeff Offutt, Wuzhi Xu |
Coverage Criteria for State Based Specifications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Formal Methods and Testing ![In: Formal Methods and Testing, An Outcome of the FORTEST Network, Revised Selected Papers, pp. 118-156, 2008, Springer, 978-3-540-78916-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Youngtae Noh, Saewoom Lee, Kiseon Kim |
Basestation-Aided Coverage-Aware Energy-Efficient Routing Protocol for Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WCNC ![In: WCNC 2008, IEEE Wireless Communications & Networking Conference, March 31 2008 - April 3 2008, Las Vegas, Nevada, USA, Conference Proceedings, pp. 2486-2491, 2008, IEEE, 978-1-4244-1997-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Weidong Wang, Yinghai Zhang, Zheng Chang, Yuanjiang Chu |
A Coverage-Based Handover Algorithm for High-speed Data Service. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WCNC ![In: WCNC 2008, IEEE Wireless Communications & Networking Conference, March 31 2008 - April 3 2008, Las Vegas, Nevada, USA, Conference Proceedings, pp. 3003-3008, 2008, IEEE, 978-1-4244-1997-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Virginia Papailiopoulou, Laya Madani, Lydie du Bousquet, Ioannis Parissis |
Extending Structural Test Coverage Criteria for Lustre Programs with Multi-clock Operators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FMICS ![In: Formal Methods for Industrial Critical Systems, 13th International Workshop, FMICS 2008, L'Aquila, Italy, September 15-16, 2008, Revised Selected Papers, pp. 23-36, 2008, Springer, 978-3-642-03239-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Cheng Qian, Hairong Qi 0001 |
Coverage Estimation in the Presence of Occlusions for Visual Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DCOSS ![In: Distributed Computing in Sensor Systems, 4th IEEE International Conference, DCOSS 2008, Santorini Island, Greece, June 11-14, 2008, Proceedings, pp. 346-356, 2008, Springer, 978-3-540-69169-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Dorit Baras, Laurent Fournier, Avi Ziv |
Automatic Boosting of Cross-Product Coverage Using Bayesian Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Haifa Verification Conference ![In: Hardware and Software: Verification and Testing, 4th International Haifa Verification Conference, HVC 2008, Haifa, Israel, October 27-30, 2008. Proceedings, pp. 53-67, 2008, Springer, 978-3-642-01701-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Shan Gao 0001, Xiaoming Wang 0001, Yingshu Li |
p-Percent Coverage Schedule in Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCCN ![In: Proceedings of the 17th International Conference on Computer Communications and Networks, IEEE ICCCN 2008, St. Thomas, U.S. Virgin Islands, August 3-7, 2008, pp. 548-553, 2008, IEEE, 978-1-4244-2390-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Shianling Wu, Laung-Terng Wang, Zhigang Jiang, Jiayong Song, Boryau Sheu, Xiaoqing Wen, Michael S. Hsiao, James Chien-Mo Li, Jiun-Lang Huang, Ravi Apte |
On Optimizing Fault Coverage, Pattern Count, and ATPG Run Time Using a Hybrid Single-Capture Scheme for Testing Scan Designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 23rd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2008), 1-3 October 2008, Boston, MA, USA, pp. 143-151, 2008, IEEE Computer Society, 978-0-7695-3365-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Caleb T. Phillips, Russell Senior, Douglas C. Sicker, Dirk Grunwald |
Robust Coverage and Performance Testing for Large-Area Wireless Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AccessNets ![In: AccessNets, Third International Conference on Access Networks, AccessNets 2008, Las Vegas, NV, USA, October 15-17, 2008. Revised Papers, pp. 457-469, 2008, Springer, 978-3-642-04647-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Jieru Chi, Guowei Yang 0002, Jie Yang |
The on-line coverage algorithm and localization technique of the intelligent cleaning robot. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICNSC ![In: Proceedings of the IEEE International Conference on Networking, Sensing and Control, ICNSC 2008, Hainan, China, 6-8 April 2008, pp. 943-948, 2008, IEEE, 978-1-4244-1685-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Zong Bo Hao, Nan Sang, Hang Lei |
Cooperative Coverage by Multiple Robots with Contact Sensors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RAM ![In: 2008 IEEE Conference on Robotics, Automation and Mechatronics, RAM 2008, 21-24 September 2008, Chengdu, China, pp. 543-548, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Sanghyeon Baeg |
Delay Fault Coverage Enhancement by Partial Clocking for Low-Power Designs With Heavily Gated Clocks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(12), pp. 2215-2221, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Qixing Feng, Joe McGeehan, Andrew R. Nix |
Enhancing Coverage and Reducing Power Consumption in Peer-to-Peer Networks Through Airborne Relaying. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTC Spring ![In: Proceedings of the 65th IEEE Vehicular Technology Conference, VTC Spring 2007, 22-25 April 2007, Dublin, Ireland, pp. 954-958, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Shireesh Verma, Ian G. Harris, Kiran Ramineni |
Interactive presentation: Automatic generation of functional coverage models from behavioral verilog descriptions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2007 Design, Automation and Test in Europe Conference and Exposition, DATE 2007, Nice, France, April 16-20, 2007, pp. 900-905, 2007, EDA Consortium, San Jose, CA, USA, 978-3-9810801-2-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Xin Ai 0002, Vikram Srinivasan, Chen-Khong Tham |
DRACo: Distributed, Robust an Asynchronous Coverage in Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SECON ![In: Proceedings of the Fourth Annual IEEE Communications Society Conference on Sensor, Mesh and Ad Hoc Communications and Networks, SECON 2007, Merged with IEEE International Workshop on Wireless Ad-hoc and Sensor Networks (IWWAN), June 18-21, 2007, San Diego, California, USA, pp. 530-539, 2007, IEEE, 1-4244-1268-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Ardian Ulvan, Robert Bestak |
Transmission Performance of Flexible Relay-based Networks on The Purpose of Extending Network Coverage. (PDF / PS) ![Search on Bibsonomy](Pics/bibsonomy.png) |
PWC ![In: Personal Wireless Communications, The 12th IFIP International Conference on Personal Wireless Communications (PWC 2007), Prague, Czech Republic, September 2007, pp. 99-106, 2007, Springer, 978-0-387-74158-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Mobile relays, transmission performance, transmission capacity |
23 | Prasan Kumar Sahoo, Jang-Ping Sheu, Wei-Shin Lin |
Dynamic Coverage and Connectivity Maintenance Algorithms for Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMSWARE ![In: Proceedings of the Second International Conference on COMmunication System softWAre and MiddlewaRE (COMSWARE 2007), January 7-12, 2007, Bangalore, India, 2007, IEEE, 1-4244-0614-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Pavan Kumar Chittimalli, Mary Jean Harrold |
Re-computing Coverage Information to Assist Regression Testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSM ![In: 23rd IEEE International Conference on Software Maintenance (ICSM 2007), October 2-5, 2007, Paris, France, pp. 164-173, 2007, IEEE Computer Society, 978-1-4244-1256-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Bang Wang, Vikram Srinivasan, Kee Chaing Chua, Wei Wang 0002 |
Information Coverage and Network Lifetime in Energy Constrained Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: 32nd Annual IEEE Conference on Local Computer Networks (LCN 2007), 15-18 October 2007, Clontarf Castle, Dublin, Ireland, Proceedings, pp. 512-519, 2007, IEEE Computer Society, 0-7695-3000-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Pawin Vongmasa, Attawith Sudsang |
Coverage Diameters of Polygons. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IROS ![In: 2006 IEEE/RSJ International Conference on Intelligent Robots and Systems, IROS 2006, October 9-15, 2006, Beijing, China, pp. 4036-4041, 2006, IEEE, 1-4244-0258-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Ian G. Harris |
A coverage metric for the validation of interacting processes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Proceedings of the Conference on Design, Automation and Test in Europe, DATE 2006, Munich, Germany, March 6-10, 2006, pp. 1019-1024, 2006, European Design and Automation Association, Leuven, Belgium, 3-9810801-1-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Vasco Jerinic, Jan Langer, Ulrich Heinkel, Dietmar Müller 0001 |
New methods and coverage metrics for functional verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Proceedings of the Conference on Design, Automation and Test in Europe, DATE 2006, Munich, Germany, March 6-10, 2006, pp. 1025-1030, 2006, European Design and Automation Association, Leuven, Belgium, 3-9810801-1-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Xiaoling Wu 0004, Lei Shu 0001, Min Meng 0002, Jinsung Cho, Sungyoung Lee |
Coverage-Driven Self-Deployment for Cluster Based Mobile Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIT ![In: Sixth International Conference on Computer and Information Technology (CIT 2006), 20-22 September 2006, Seoul, Korea, pp. 226, 2006, IEEE Computer Society, 0-7695-2687-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Dan Tao, Huadong Ma, Liang Liu 0001 |
Coverage-Enhancing Algorithm for Directional Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MSN ![In: Mobile Ad-hoc and Sensor Networks, Second International Conference, MSN 2006, Hong Kong, China, December 13-15, 2006, Proceedings, pp. 256-267, 2006, Springer, 3-540-49932-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Qiang Ma 0001, Hisashi Miyamori, Yutaka Kidawara, Katsumi Tanaka |
Content-coverage Based Trust-oriented Evaluation Method for Information Retrieval. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SKG ![In: 2006 International Conference on Semantics, Knowledge and Grid (SKG 2006), 1-3 November 2006, Guilin, China, pp. 22, 2006, IEEE Computer Society, 0-7695-2673-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Myra B. Cohen, Matthew B. Dwyer, Jiangfan Shi |
Coverage and adequacy in software product line testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ROSATEA ![In: Proceedings of the 2006 Workshop on Role of Software Architecture for Testing and Analysis, held in conjunction with the ACM SIGSOFT International Symposium on Software Testing and Analysis (ISSTA 2006), ROSATEA 2006, Portland, Maine, USA, July 17-20, 2006, pp. 53-63, 2006, ACM, 1-59593-459-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Satoshi Kataoka, Fuyuki Ishikawa, Shinichi Honiden |
PID-filtered Negotiation for Decision Making in Dynamic Coverage Problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICTAI ![In: 18th IEEE International Conference on Tools with Artificial Intelligence (ICTAI 2006), 13-15 November 2006, Arlington, VA, USA, pp. 481-488, 2006, IEEE Computer Society, 0-7695-2728-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Pang-Chieh Wang, Ting-Wei Hou, Ruei-Hong Yan |
Maintaining Coverage by Progressive Crystal-Lattice Permutation in Mobile Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSNC ![In: Proceedings of the International Conference on Systems and Networks Communications (ICSNC 2006), October 29 - November 3, 2006, Papeete, Tahiti, French Polynesia, pp. 42, 2006, IEEE Computer Society, 0-7695-2699-3. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Meng-Chun Wueng, Shyh-In Hwang |
An Efficient K-Coverage Eligibility Algorithm on Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPA ![In: Parallel and Distributed Processing and Applications, 4th International Symposium, ISPA 2006, Sorrento, Italy, December 4-6, 2006, Proceedings, pp. 418-429, 2006, Springer, 3-540-68067-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Ruifeng Guo, Subhasish Mitra, M. Enamul Amyeen, Jinkyu Lee, Srihari Sivaraj, Srikanth Venkataraman |
Evaluation of Test Metrics: Stuck-at, Bridge Coverage Estimate and Gate Exhaustive. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 24th IEEE VLSI Test Symposium (VTS 2006), 30 April - 4 May 2006, Berkeley, California, USA, pp. 66-71, 2006, IEEE Computer Society, 0-7695-2514-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Vimal K. Reddy, Eric Rotenberg, Sailashri Parthasarathy |
Understanding prediction-based partial redundant threading for low-overhead, high- coverage fault tolerance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2006, San Jose, CA, USA, October 21-25, 2006, pp. 83-94, 2006, ACM, 1-59593-451-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
redundant multithreading, simultaneous multithreading (SMT), slipstream processor, chip multiprocessor (CMP), branch prediction, transient faults, value prediction, time redundancy |
23 | Jie Wu 0001, Fei Dai 0001 |
Efficient Broadcasting with Guaranteed Coverage in Mobile Ad Hoc Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Mob. Comput. ![In: IEEE Trans. Mob. Comput. 4(3), pp. 259-270, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
simulation, mobility, mobile ad hoc networks (MANETs), Broadcasting, localized algorithms |
23 | Niklas Pettersson |
Measuring precision for static and dynamic design pattern recognition as a function of coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGSOFT Softw. Eng. Notes ![In: ACM SIGSOFT Softw. Eng. Notes 30(4), pp. 1-7, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Anna Gomolinska |
Rough Validity, Confidence, and Coverage of Rules in Approximation Spaces. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Trans. Rough Sets ![In: Transactions on Rough Sets III, pp. 57-81, 2005, Springer, 3-540-25998-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Íñigo Ugarte, Pablo Sanchez |
Extended abstract: polynomial model-based evaluation of the branch coverage metric for functional verification of hardware systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MEMOCODE ![In: 3rd ACM & IEEE International Conference on Formal Methods and Models for Co-Design (MEMOCODE 2005), 11-14 July 2005, Verona, Italy, Proceedings, pp. 257-258, 2005, IEEE Computer Society, 0-7803-9227-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Prabhat Mishra 0001, Nikil D. Dutt |
Functional Coverage Driven Test Generation for Validation of Pipelined Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2005 Design, Automation and Test in Europe Conference and Exposition (DATE 2005), 7-11 March 2005, Munich, Germany, pp. 678-683, 2005, IEEE Computer Society, 0-7695-2288-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Kohei Miyase, Kenta Terashima, Seiji Kajihara, Xiaoqing Wen, Sudhakar M. Reddy |
On Improving Defect Coverage of Stuck-at Fault Tests. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 14th Asian Test Symposium (ATS 2005), 18-21 December 2005, Calcutta, India, pp. 216-223, 2005, IEEE Computer Society, 0-7695-2481-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Yanli Cai, Minglu Li 0001, Wei Shu, Min-You Wu |
ACOS: A Precise Energy-Aware Coverage Control Protocol for Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MSN ![In: Mobile Ad-hoc and Sensor Networks, First International Conference, MSN 2005, Wuhan, China, December 13-15, 2005, Proceedings, pp. 701-710, 2005, Springer, 3-540-30856-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Abdesselam Lakehal, Ioannis Parissis |
Lustructu: A Tool for the Automatic Coverage Assessment of Lustre Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSRE ![In: 16th International Symposium on Software Reliability Engineering (ISSRE 2005), 8-11 November 2005, Chicago, IL, USA, pp. 301-310, 2005, IEEE Computer Society, 0-7695-2482-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Yi Shang, Hongchi Shi |
Coverage and Energy Tradeoff in Density Control on Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS (1) ![In: 11th International Conference on Parallel and Distributed Systems, ICPADS 2005, Fuduoka, Japan, July 20-22, 2005, pp. 564-570, 2005, IEEE Computer Society, 0-7695-2281-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Lu Su, Qing Yang 0003, Quanlong Li, Xiaofei Xu |
Coverage Algorithm and Protocol in Heterogeneous Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCNMC ![In: Networking and Mobile Computing, Third International Conference, ICCNMC 2005, Zhangjiajie, China, August 2-4, 2005, Proceedings, pp. 53-63, 2005, Springer, 3-540-28102-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Yosi Ben-Asher, Igor Breger, Eitan Farchi, Ilia Gordon |
Optimal Algorithmic Debugging and Reduced Coverage Using Search in Structured Domains. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Haifa Verification Conference ![In: Hardware and Software Verification and Testing, First International Haifa Verification Conference, Haifa, Israel, November 13-16, 2005, Revised Selected Papers, pp. 149-157, 2005, Springer, 3-540-32604-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Wei Li 0023, Seongmoon Wang, Srimat T. Chakradhar, Sudhakar M. Reddy |
Distance Restricted Scan Chain Reordering to Enhance Delay Fault Coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 18th International Conference on VLSI Design (VLSI Design 2005), with the 4th International Conference on Embedded Systems Design, 3-7 January 2005, Kolkata, India, pp. 471-478, 2005, IEEE Computer Society, 0-7695-2264-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Xiangwen Liu, Huifeng Hou, Jinya Yang, Hongyi Yu, Hanying Hu |
Coverage and Energy Efficient Information Gathering Protocol in Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDCAT ![In: Sixth International Conference on Parallel and Distributed Computing, Applications and Technologies (PDCAT 2005), 5-8 December 2005, Dalian, China, pp. 649-654, 2005, IEEE Computer Society, 0-7695-2405-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Christophe Gaston, Dirk Seifert |
Evaluating Coverage Based Testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Model-Based Testing of Reactive Systems ![In: Model-Based Testing of Reactive Systems, Advanced Lectures [The volume is the outcome of a research seminar that was held in Schloss Dagstuhl in January 2004], pp. 293-322, 2004, Springer, 3-540-26278-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
23 | Zack J. Butler, Daniela Rus |
Controlling Mobile Sensors for Monitoring Events with Coverage Constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICRA ![In: Proceedings of the 2004 IEEE International Conference on Robotics and Automation, ICRA 2004, April 26 - May 1, 2004, New Orleans, LA, USA, pp. 1568-1573, 2004, IEEE. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
23 | Ioannis M. Rekleitis, Vincent Lee-Shue, Ai Peng New, Howie Choset |
Limited Communication, Multi-robot Team Based Coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICRA ![In: Proceedings of the 2004 IEEE International Conference on Robotics and Automation, ICRA 2004, April 26 - May 1, 2004, New Orleans, LA, USA, pp. 3462-3468, 2004, IEEE. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
23 | Evangelos Kranakis, Danny Krizanc, Jorge Urrutia |
Coverage and Connectivity in Networks with Directional Sensors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2004 Parallel Processing, 10th International Euro-Par Conference, Pisa, Italy, August 31-September 3, 2004, Proceedings, pp. 917-924, 2004, Springer, 3-540-22924-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
23 | Yan Chen, Xuan Du, Xuegong Zhou, Chenglian Peng |
An Automatic Coverage Analysis for SystemC Using UML and Aspect-Oriented Technology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSCWD (Selected papers) ![In: Computer Supported Cooperative Work in Design I, 8th International Conference, CSCWD 2004, Xiamen, China, May 26-28, 2004, Revised Selected Papers, pp. 398-405, 2004, Springer, 3-540-29400-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
Displaying result #401 - #500 of 18073 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ >>] |
|