The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Verilog with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1992-1996 (20) 1997-1998 (28) 1999 (24) 2000 (30) 2001 (23) 2002 (33) 2003 (48) 2004 (44) 2005 (60) 2006 (57) 2007 (64) 2008 (68) 2009 (35) 2010-2011 (18) 2012-2013 (24) 2014-2015 (30) 2016 (16) 2017 (18) 2018 (15) 2019 (24) 2020 (15) 2021-2022 (33) 2023 (22) 2024 (5)
Publication types (Num. hits)
article(130) book(8) incollection(4) inproceedings(609) phdthesis(3)
Venues (Conferences, Journals, ...)
DATE(27) CoRR(24) DAC(22) ISCAS(20) VLSI Design(19) DSD(12) ICCAD(12) FPGA(11) MEMOCODE(11) ICCD(10) IEEE Trans. Comput. Aided Des....(10) IEEE Trans. Very Large Scale I...(10) ISQED(10) FMCAD(9) MSE(9) PATMOS(9) More (+10 of total 292)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 455 occurrences of 313 keywords

Results
Found 754 publication records. Showing 754 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Mile K. Stojcev Digital Computer Arithmetic Datapath Design Using Verilog HDL, James E. Stine, Kluwer Academic Publishers, Boston, 2004, ISBN 1-4020-7710-6. Hardcover, pp 180, plus XI. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Tun Li, Yang Guo 0003, GongJie Liu, Sikun Li Functional Vectors Generation for RT-Level Verilog Descriptions Based on Path Enumeration and Constraint Logic Programming. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Peter Jamieson, Jonathan Rose A Verilog RTL Synthesis Tool for Heterogeneous FPGAs. Search on Bibsonomy FPL The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Himanshu Thapliyal, M. B. Srinivas, Rameshwar Rao 0001, Hamid R. Arabnia Verilog Coding Style for Efficient Synthesis In FPGA. Search on Bibsonomy CDES The full citation details ... 2005 DBLP  BibTeX  RDF
18S. I. Ahmed, Kent Orthner, Tadeusz Kwasniewski Behavioral test benches for digital clock and data recovery circuits using Verilog-A. Search on Bibsonomy CICC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Arash Saifhashemi, Peter A. Beerel High Level Modeling of Channel-Based Asynchronous Circuits Using Verilog. Search on Bibsonomy CPA The full citation details ... 2005 DBLP  BibTeX  RDF
18Janick Bergeron Modeling Usable and Reusable Transactors in System Verilog. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Romeo Urbieta Parrazales, Enrique Guzmán-Ramírez Diseño de Control Difuso Usando Promedio de Pesos e Implementado con Lenguaje Verilog. Search on Bibsonomy Polibits The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Yuan-Bin Sha, Mu-Shun Matt Lee, Chien-Nan Jimmy Liu On code coverage measurement for Verilog-A. Search on Bibsonomy HLDVT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Meinrad Fiedler Ein Ubersetzungsverfahren von Verilog-Kausalspezifikationen in Signalflankengraph-basierte Spezifikationen zum Entwurf asynchroner Schaltwerke. Search on Bibsonomy MBMV The full citation details ... 2004 DBLP  BibTeX  RDF
18Kai-Yuan Jheng, Shyh-Jye Jou, An-Yeu Wu A design flow for multiplierless linear-phase FIR filters: from system specification to Verilog code. Search on Bibsonomy ISCAS (5) The full citation details ... 2004 DBLP  BibTeX  RDF
18Lubomir Ivanov Automatic Extraction of Non-Iterated System Behavior from Verilog Specifications. Search on Bibsonomy ESA/VLSI The full citation details ... 2004 DBLP  BibTeX  RDF
18Naohiko Shimizu Design of sfl2vl: SFL to Verilog Converter Based on an LR-Parser. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2003 DBLP  BibTeX  RDF
18Jay Lawrence Orthogonality of Verilog Data Types and Object Kinds. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2003 DBLP  BibTeX  RDF
18Tohru Ishihara, Satoshi Komatsu, Makoto Ikeda, Masahiro Fujita, Kunihiro Asada Comparative Study On Verilog-Based And C-Based Hardware Design Education. Search on Bibsonomy MSE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Junjun Li, Sopan Joshi, Elyse Rosenbaum A Verilog-A compact model for ESD protection NMOSTs. Search on Bibsonomy CICC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Pedram A. Riahi, Zainalabedin Navabi, Fabrizio Lombardi Using Verilog VPI for Mixed Level Serial Fault Simulation in a Test Generation Environment. Search on Bibsonomy Embedded Systems and Applications The full citation details ... 2003 DBLP  BibTeX  RDF
18Kenichi Suzuki, Mitsuhiro Takeda, Atsushi Kamo, Hideki Asai A Novel Application of Verilog-A to Modeling and Simulation of High-Speed Interconnects in Time/Frequency Transform-Domain. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2002 DBLP  BibTeX  RDF
18 Verilog and Other Standards. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2002 DBLP  BibTeX  RDF
18Jordan Dimitriov Developing semantics of Verilog HDL in formal compositional design of mixed hardware/software systems. Search on Bibsonomy 2002   RDF
18Daryl Stewart A uniform semantics for verilog and VHDL suitable for both simulation and verification Search on Bibsonomy 2002   RDF
18Lionel Bening, Harry Foster Principles of verifiable RTL design - a functional coding style supporting verification processes in Verilog. Search on Bibsonomy 2001   RDF
18Jifeng He 0001, Huibiao Zhu Formalising VERILOG. Search on Bibsonomy ICECS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Erik Lauwers, Georges G. E. Gielen, Koen Lampaert, Paolo Miliozzi High-Level Design Case of a Switched-Capacitor Low-Pass Filter Using Verilog-A. Search on Bibsonomy BMAS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Peter Frey, Donald O'Riordan Verilog-AMS: Mixed-Signal Simulation and Cross Domain Connect Modules. Search on Bibsonomy BMAS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Zainalabedin Navabi Hardware Description in Verilog. Search on Bibsonomy The VLSI Handbook The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18John Howard Eli Fiskio-Lasseter, Amr Sabry Putting Operational Techniques to the Test: A Syntactic Theory for Behavioral Verilog. Search on Bibsonomy HOOTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Françoise Martinolle, Charles Dawson 0002, Debra Corlette, Mike Floyd Interoperability of Verilog/VHDL Procedural Language Interfaces to Build a Mixed Language GUI. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Hisashi Sasaki A Formal Semantics for Verilog-VHDL Simulation Interoperability by Abstact State Machine. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Marcelino B. Santos, Fernando M. Gonçalves, Isabel C. Teixeira, João Paulo Teixeira 0001 Defect-Oriented Verilog Fault Simulation of SoC Macros using a Stratified Fault Sampling Technique. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Peter Wohl, John A. Waicukauski Using Verilog simulation libraries for ATPG. Search on Bibsonomy ITC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Daniel C. Hyde Using verilog HDL to teach computer architecture concepts. Search on Bibsonomy WCAE@ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Gordon G. Pace Hardware design based on Verilog HDL. Search on Bibsonomy 1998   RDF
18James M. Lee Verilog quickstart. Search on Bibsonomy 1997   RDF
18Dominique Borrione, F. Vestman, H. Bouamama An approach to Verilog-VHDL interoperability for synchronous designs. Search on Bibsonomy CHARME The full citation details ... 1997 DBLP  BibTeX  RDF
18Ulrich Golze VLSI chip design with the hardware description language VERILOG - an introduction based on a large RISC processor design. Search on Bibsonomy 1996   RDF
18Donald E. Thomas, Philip Moorby The Verilog hardware description language (3. ed.). Search on Bibsonomy 1996   RDF
18Ulrich Golze VLSI-Entwurf eines RISC-Prozessors - eine Einführung in das Design großer Chips und die Hardware-Beschreibungssprache VERILOG HDL. Search on Bibsonomy 1995   RDF
18Donald E. Thomas, Philip Moorby The Verilog hardware description language (2. ed.). Search on Bibsonomy 1995   RDF
18Michael J. C. Gordon The Semantic Challenge of Verilog HDL Search on Bibsonomy LICS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18David J. Greaves The CSYN Verilog Compiler and Other Tools. Search on Bibsonomy FPL The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18Raymond P. Voith The PowerPC 603 C++ Verilog Interface Model. Search on Bibsonomy COMPCON The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Felice Balarin, Gary York Verilog HDL Modeling Styles for Formal Verification. Search on Bibsonomy CHDL The full citation details ... 1993 DBLP  BibTeX  RDF
18Serge Maginot Evaluation criteria of HDLs: VHDL compared to Verilog, UDL/I & M. Search on Bibsonomy EURO-DAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
10Marc Schlickling, Markus Pister 0002 Semi-automatic derivation of timing models for WCET analysis. Search on Bibsonomy LCTES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF vhdl, worst-case execution time, hard real-time
10Shiuh-Jer Huang, Shian-Shin Wu Vision-Based Robotic Motion Control for Non-autonomous Environment. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Self-organizing fuzzy control, FPGA chip, Visual servo, Robotic system
10Miloslav Kubar, Ondrej Subrt, Pravoslav Martínek, Jiri Jakovenko Experience in Virtual Testing of RSD cyclic A/D converters. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Warren A. Hunt Jr., Sol Swords Centaur Technology Media Unit Verification. Search on Bibsonomy CAV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Junguk Cho, Shahnam Mirzaei, Jason Oberg, Ryan Kastner Fpga-based face detection system using Haar classifiers. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF haar classifier, fpga, image processing, real-time, architecture, face detection, adaboost
10Jinsil Kim, Wonyoung Chung, Junghee Lee, Yongsurk Lee An implementation of the CQS supporting multimedia traffic. Search on Bibsonomy ICHIT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CQS, dequeue, enqueue, scheduler
10Rishiyur S. Nikhil Using GPCE principles for hardware systems and accelerators: (bridging the gap to HW design). Search on Bibsonomy GPCE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF bluespec systemverilog, bsv, energy efficient computing, fpga, high level synthesis, high performance computing, haskell, hardware accelerators, hardware/software codesign, term rewriting systems, hybrid computing
10Daniel Schwartz-Narbonne, Carven Chan, Yogesh S. Mahajan, Sharad Malik Supporting RTL flow compatibility in a microarchitecture-level design framework. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF microarchitecture level, transactions, formal models, hierarchical design, hardware resources
10Jaeyoung Yi, Karam Park, Joonseok Park, Won Woo Ro Fully Pipelined Hardware Implementation of 128-Bit SEED Block Cipher Algorithm. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Block Cipher Algorithm, Field Programmable Gate Arrays (FPGA), Cryptography, SEED
10Jamie Cullen Evolutionary meta programming. Search on Bibsonomy GEC Summit The full citation details ... 2009 DBLP  DOI  BibTeX  RDF evolutionary meta compilation, evolutionary meta programming, genetic programming, evolutionary computation, grammatical evolution
10Daeyeon Kim, Yoonmyung Lee, Jin Cai, Isaac Lauer, Leland Chang, Steven J. Koester, Dennis Sylvester, David T. Blaauw Low power circuit design based on heterojunction tunneling transistors (HETTs). Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF SRAM design, low power applications, tunneling transistor
10Syed Zafar Shazli, Mehdi Baradaran Tahoori Soft error rate computation in early design stages using boolean satisfiability. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF soft error, hardware description language, reliability modeling
10Adithya V. Kodati, Koneswara S. Vemuri, Lili He 0001, Morris Jones Implementation of power managed hyper transport system for transmission of HD video. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Jesse D. Bingham, John Erickson, Gaurav Singh, Flemming Andersen Industrial strength refinement checking. Search on Bibsonomy FMCAD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Zurab Khasidashvili, Gavriel Gavrielov, Tom Melham Assume-guarantee validation for STE properties within an SVA environment. Search on Bibsonomy FMCAD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Vinod Viswanath, Shobha Vasudevan, Jacob A. Abraham Dedicated Rewriting: Automatic Verification of Low Power Transformations in RTL. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Hong Lu, A. Forin Automatic Processor Customization for Zero-Overhead Online Software Verification. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Hiren D. Patel, Sandeep K. Shukla On Cosimulating Multiple Abstraction-Level System-Level Models. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Reza M. Rad, Mohammad Tehranipoor SCT: A novel approach for testing and configuring nanoscale devices. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Configuration and testing, reconfigurable nanoscale devices, fault tolerance, crossbar, nanowire
10Chun-Lung Hsu, Yu-Sheng Huang A Fast-Deblocking Boundary-strength Based Architecture Design of Deblocking Filter in H.264/AVC Applications. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FDBS, H.264/AVC, PSNR, bit-rate, deblocking filter
10Grant Martin Learning to assert yourself [review of Creating Assertion-Based IP (H.D. Foster and A.C. Krolnik; 2008)]. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Santiago De Pablo, Santiago Cáceres, Jesús A. Cebrián, Manuel Berrocal, F. Sanz ASM++ diagrams used on teaching electronic design. Search on Bibsonomy Innovative Techniques in Instruction Technology, E-learning, E-assessment, and Education The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Jaume Joven, Oriol Font-Bach, David Castells-Rufas, Ricardo Martínez, Lluís Terés, Jordi Carrabina xENoC - An eXperimental Network-On-Chip Environment for Parallel Distributed Computing on NoC-based MPSoC Architectures. Search on Bibsonomy PDP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF NoC-based MPSoCs, Message Passing Interface, Distributed Programming, Design Tools and Techniques
10Jamie Cullen Evolving Digital Circuits in an Industry Standard Hardware Description Language. Search on Bibsonomy SEAL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Sawal Ali, Reuben Wilcock, Peter R. Wilson, Andrew D. Brown A New Approach for Combining Yield and Performance in Behavioural Models for Analogue Integrated Circuits. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Mehdi Dehbashi, Vahid Lari, Seyed Ghassem Miremadi, Mohammad Shokrollah-Shirazi Fault Effects in FlexRay-Based Networks with Hybrid Topology. Search on Bibsonomy ARES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FlexRay Protocol, Fault Injection, Error Propagation, Distributed Embedded Systems, Dependability Evaluation
10Ansuman Banerjee, Kausik Datta, Pallab Dasgupta CheckSpec: A Tool for Consistency and Coverage Analysis of Assertion Specifications. Search on Bibsonomy ATVA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Fabrício Vivas Andrade, Leandro Maia Silva, Antônio Otávio Fernandes BenCGen: a digital circuit generation tool for benchmarks. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF benchmarks, sat solvers, combinational equivalence checking
10Shankar Neelkrishnan, Mei Yang, Yingtao Jiang, Lei Zhang 0014, Yulu Yang, Enyue Lu, Xiao-chun Yun Design and Implementation of a Parameterized NoC Router and its Application to Build PRDT-Based NoCs. Search on Bibsonomy ITNG The full citation details ... 2008 DBLP  DOI  BibTeX  RDF PRDT, router, NoC
10Naoki Iwasaki, Katsumi Wasaki A Meta Hardware Description Language Melasy for Model-Checking Systems. Search on Bibsonomy ITNG The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Hardware/Software co-design and co-verification, Model Checking, Haskell, Design-for-test, Hardware Compilers
10Ruchika Verma, Ali Akoglu A coarse grained and hybrid reconfigurable architecture with flexible NoC router for variable block size motion estimation. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Jamie Cullen Evolutionary Meta Compilation: Evolving Programs Using Real World Engineering Tools. Search on Bibsonomy ICES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10David J. Greaves, Satnam Singh Kiwi: Synthesis of FPGA Circuits from Parallel Programs. Search on Bibsonomy FCCM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Satnam Singh, David J. Greaves Synthesizing FPGA Circuits from Parallel Programs. Search on Bibsonomy ARC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Wolfram Büttner Complex Hardware Modules Can Now be Made Free of Functional Errors without Sacrificing Productivity. Search on Bibsonomy ABZ The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Formal Verification, Design Process, Abstract State Machine
10Miaoqing Huang, Kris Gaj, Soonhak Kwon, Tarek A. El-Ghazawi An Optimized Hardware Architecture for the Montgomery Multiplication Algorithm. Search on Bibsonomy Public Key Cryptography The full citation details ... 2008 DBLP  DOI  BibTeX  RDF MWR2MM Algorithm, Field Programmable Gate Arrays, Montgomery Multiplication
10Michal Karczmarek, Arvind Synthesis from multi-cycle atomic actions as a solution to the timing closure problem. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Fred Chen, Hei Kam, Dejan Markovic, Tsu-Jae King Liu, Vladimir Stojanovic, Elad Alon Integrated circuit design with NEM relays. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Kok-Leong Chang, Yao Zhu, Bah-Hwee Gwee De-synchronization of a point-of-sales digital-logic controller. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Balaji V. Iyer, Thomas M. Conte A Power Model for Register-Sharing Structures. Search on Bibsonomy DIPES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Koji Nakano, Kensuke Kawakami, Koji Shigemoto, Yuki Kamada, Yasuaki Ito A Tiny Processing System for Education and Small Embedded Systems on the FPGAs. Search on Bibsonomy EUC (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Drew C. Ness, David J. Lilja Statistically translating low-level error probabilities to increase the accuracy and efficiency of reliability simulations in hardware description languages. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF fault distribution, reliability analysis, SEU, SER
10Nathaniel J. August A Robust and Efficient Pre-Silicon Validation Environment for Mixed-Signal Circuits on Intel's Test Chips. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF pre-silicon, validation, mixed-signal
10Jalaj Jain A Scalable and Reconfigurable Coprocessor for Image Composition. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Yogesh Singh Chauhan, Dimitrios Tsamados, Nicolas Abelé, Christoph Eggimann, Michel J. Declercq, Adrian M. Ionescu Compact Modeling of Suspended Gate FET. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Shahid Rizwan Retimed Decomposed Serial Berlekamp-Massey (BM) Architecture for High-Speed Reed-Solomon Decoding. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Kyller Costa Gorgônio, Jordi Cortadella Hardware Synthesis for Asynchronous Communications Mechanisms. Search on Bibsonomy SCCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
10Nathaniel Ross Pinckney, Thomas Barr, Michael Dayringer, Matthew McKnett, Nan Jiang 0009, Carl Nygaard, David Money Harris, Joel Stanley, Braden Phillips A MIPS R2000 implementation. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF RISC, MIPS
10Xiang Xiao, Jaehwan John Lee A Novel Parallel Deadlock Detection Algorithm and Hardware for Multiprocessor System-on-a-Chip. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Chen Shoushun, Amine Bermak Arbitrated Time-to-First Spike CMOS Image Sensor With On-Chip Histogram Equalization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Gaurav Mittal, David Zaretsky, Xiaoyong Tang, Prithviraj Banerjee An Overview of a Compiler for Mapping Software Binaries to Hardware. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Edmund M. Clarke, Himanshu Jain, Daniel Kroening Verification of SpecC using predicate abstraction. Search on Bibsonomy Formal Methods Syst. Des. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Verification, System level design, Predicate abstraction
10Aggelos Ioannou, Manolis Katevenis Pipelined heap (priority queue) management for advanced scheduling in high-speed networks. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF high-speed network scheduling, pipelined hard-ware heap, synthesizable core, weighted fair queueing, priority queue, weighted round robin
10Shobha Vasudevan, Vinod Viswanath, Robert W. Sumners, Jacob A. Abraham Automatic Verification of Arithmetic Circuits in RTL Using Stepwise Refinement of Term Rewriting Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Register Transfer Level implementation, Verification, Hardware Description Languages, arithmetic logic unit
10Felice Balarin, Roberto Passerone Specification, Synthesis, and Simulation of Transactor Processes. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
10Maria Stepanova, Feng Lin 0002, Valerie C.-L. Lin A Hopfield Neural Classifier and Its FPGA Implementation for Identification of Symmetrically Structured DNA Motifs. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF DNA motifs, sequence structure identification, hopfield classifier, field-programmable gate arrays, recurrent neural network
Displaying result #401 - #500 of 754 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license