The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for multiprocessing with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1962-1967 (16) 1968-1972 (16) 1973-1977 (20) 1978-1980 (21) 1981-1983 (23) 1984-1985 (18) 1986-1987 (15) 1988 (34) 1989 (37) 1990 (38) 1991 (18) 1992 (30) 1993 (30) 1994 (40) 1995 (40) 1996 (24) 1997 (16) 1998 (17) 1999-2000 (37) 2001-2002 (20) 2003-2004 (30) 2005 (36) 2006 (31) 2007 (34) 2008 (19) 2009 (15) 2010-2011 (19) 2012-2014 (20) 2015-2017 (17) 2018-2020 (20) 2021-2022 (17) 2023-2024 (10)
Publication types (Num. hits)
article(342) book(4) inproceedings(416) phdthesis(16)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2083 occurrences of 945 keywords

Results
Found 778 publication records. Showing 778 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18A. J. Critcklow Generalized multiprocessing and multiprogramming systems. Search on Bibsonomy AFIPS Fall Joint Computing Conference The full citation details ... 1963 DBLP  DOI  BibTeX  RDF
18Frank R. Baldwin, William B. Gibson, Clarence B. Poland A Multiprocessing Approach to a Large Computer System. Search on Bibsonomy IBM Syst. J. The full citation details ... 1962 DBLP  DOI  BibTeX  RDF
13Guochun Shi, Volodymyr V. Kindratenko, Frederico Pratas, Pedro Trancoso, Michael Gschwind Application Acceleration with the Cell Broadband Engine. Search on Bibsonomy Comput. Sci. Eng. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF PowerXCell 8i processor, chip architecture, data-intensive application architectures, compute-intensive processing, Cell Broadband Engine, chip multiprocessing
13Hyung Chan Kim, Daisuke Inoue, Masashi Eto, Jungsuk Song, Koji Nakao How to Locate a Target Binary Process and Its Derivatives in System Emulator. Search on Bibsonomy SAINT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF system emulator, virtualization, instrumentation, malwares, multiprocessing
13Michael R. Head, Madhusudhan Govindaraju Performance enhancement with speculative execution based parallelism for processing large-scale xml-based application data. Search on Bibsonomy HPDC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF chip-level multiprocessing, parallel techniques, xml datasets
13Theodore P. Baker, Michele Cirinei, Marko Bertogna EDZL scheduling analysis. Search on Bibsonomy Real Time Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF EDZL, Earliest deadline zero laxity, Symmetric multiprocessing, Scheduling, Schedulability, Real time, Multiprocessor, EDF, Earliest deadline first
13Kunal Agrawal, Charles E. Leiserson, Yuxiong He, Wen-Jing Hsu Adaptive work-stealing with parallelism feedback. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF instantaneous parallelism, parallelism feedback, trim analysis, parallel computation, randomized algorithm, job scheduling, multiprogramming, processor allocation, multiprocessing, work-stealing, Adaptive scheduling, work, thread scheduling, adversary, span, space sharing, two-level scheduling
13Christopher B. Colohan, Anastassia Ailamaki, J. Gregory Steffan, Todd C. Mowry Incrementally parallelizing database transactions with thread-level speculation. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF incremental parallelization, Thread-level speculation, chip-multiprocessing, optimistic concurrency
13Antonia Zhai, J. Gregory Steffan, Christopher B. Colohan, Todd C. Mowry Compiler and hardware support for reducing the synchronization of speculative threads. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF automatic parallelization, instruction scheduling, Thread-level speculation, chip-multiprocessing
13Jonah Probell Architecture Considerations for Multi-Format Programmable Video Processors. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF software programmable processor, hardwired processor, data tiling, SIMD, VLIW, processor architecture, multiprocessing
13Mwaffaq Otoom, JoAnn M. Paul Holistic design and caching in mobile computing. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF application-level caching, single chip heterogeneous multiprocessing, mobile computing, webpages
13Guangyu Chen, Feihui Li, Seung Woo Son 0001, Mahmut T. Kandemir Application mapping for chip multiprocessors. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF NoC (Network on Chip), compilers, power optimization, chip multiprocessing, application mapping
13JoAnn M. Paul, Brett H. Meyer Amdahl's Law Revisited for Single Chip Systems. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Amdahl’s Law, single chip heterogeneous multiprocessing, performance, design, partitioning
13Wayne H. Wolf The Good News and the Bad News. Search on Bibsonomy Computer The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multiprocessing, embedded computing
13Theodore P. Baker An Analysis of Fixed-Priority Schedulability on a Multiprocessor. Search on Bibsonomy Real Time Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF symmetric multiprocessing, scheduling, real time, multiprocessor, utilization bound, rate monotonic, fixed priority, deadline monotonic
13Jesse T. Quatse Anecdotes. Search on Bibsonomy IEEE Ann. Hist. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF CMU, computer science department, RACE file, portable computer terminal, acoustic modem, remote batch, system design, shared memory, multiprocessing, graphic display
13Angela C. Sodan, Lei Lan LOMARC: Lookahead Matchmaking for Multiresource Coscheduling on Hyperthreaded CPUs. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF scheduling, performance measures, threads, Distributed architecture, multiprocessing
13Kunal Agrawal, Yuxiong He, Wen-Jing Hsu, Charles E. Leiserson Adaptive scheduling with parallelism feedback. Search on Bibsonomy PPoPP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF greedy scheduling, instantaneous parallelism, parallelism feedback, trim analysis, parallel computation, task scheduling, job scheduling, multiprogramming, processor allocation, multiprocessing, critical path, adaptive scheduling, work, adversary, space sharing, data-parallel computing, two-level scheduling
13Ozcan Ozturk 0001, Guilin Chen, Mahmut T. Kandemir Optimizing code parallelization through a constraint network based approach. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF compiler, constraint network, chip multiprocessing
13Jason Cong, Ashok Jagannathan, Glenn Reinman, Yuval Tamir Understanding the energy efficiency of SMT and CMP with multiclustering. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF energy efficiency, simultaneous multithreading, chip multiprocessing
13JoAnn M. Paul, Donald E. Thomas, Alex Bobrek Benchmark-based design strategies for single chip heterogeneous multiprocessors. Search on Bibsonomy CODES+ISSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF heterogeneous multiprocessing, scenario-oriented design, benchmarking, systems-on-chips (SoCs)
13JoAnn M. Paul Programmers' views of SoCs. Search on Bibsonomy CODES+ISSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF heterogeneous multiprocessing, programmers' views, systems-on-chips (SoCs), networks on chip, design languages
13Ismail Kadayif, Mahmut T. Kandemir, Mustafa Karaköy An energy saving strategy based on adaptive loop parallelization. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF energy consumption, multiprocessing, adaptive parallelization
13Alexis Vartanian, Jean-Luc Béchennec, Nathalie Drach-Temam The Best Distribution for a Parallel OpenGL 3D Engine with Texture Caches. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF texture mapping, Cache memories, parallel rendering, multiprocessing, application specific architecture
13Todd C. Mowry, Sherwyn R. Ramkissoon Software-Controlled Multithreading Using Informing Memory Operations. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF shared-memory multiprocessing, Multithreading, cache performance
13Jaewon Oh, Hyokyung Bahn, Chris Wu, Kern Koh Pareto-based soft real-time task scheduling in multiprocessor systems. Search on Bibsonomy APSEC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF soft real-time task scheduling, processor minimization, deadline missing time, Pareto-based genetic algorithm, genetic algorithms, scheduling, performance, real-time systems, parallel programming, parallel program, multiprocessing systems, multiprocessor systems, experimental results, minimisation, Pareto-optimal set
13Amitava Majumdar 0001 arallel Performance Study of Monte Carlo Photon Transport Code on Shared-, Distributed-, and Distributed-Shared-Memory Architectures. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF MPI and hybrid MPI/OpenMP programming, Tera MTA, Symmetric Multiprocessing IBM SP, Monte Carlo photon transport algorithm, OpenMP, Multi-threaded, parallel performance, Cray T3E
13Håkan Sundell, Philippas Tsigas Space efficient wait-free buffer sharing in multiprocessor real-time systems based on timing information. Search on Bibsonomy RTCSA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF space-efficient wait-free algorithm, real-time multiprocessor systems, deadline guarantees, nonblocking algorithms, unbounded time-stamps, time-stamp bounding, concurrent read/write operations, real-time systems, protocol, data structures, data structures, timing, multiprocessing systems, mutual exclusion, blocking, buffer storage, timing information, shared buffer, memory protocols
13James H. Anderson, Philip Holman Efficient pure-buffer algorithms for real-time systems. Search on Bibsonomy RTCSA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF efficient pure-buffer algorithms, multiprocessor real-time systems, multi-writer read/write pure-buffers, overwritten data, client processes, handshaking mechanisms, concurrent read/write operations safety, quantum-scheduled systems, priority-scheduled systems, buffer word length, real-time systems, computational complexity, distributed algorithms, safety, multiprocessing systems, time complexity, processor scheduling, optimized algorithms, buffer storage, space complexity, wait-free algorithms, shared buffers, processor number
13Joël Goossens, Sanjoy K. Baruah Multiprocessor algorithms for uniprocessor feasibility analysis. Search on Bibsonomy RTCSA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF multiprocessor algorithms, uniprocessor feasibility analysis, uniprocessor real-time systems, asynchronous periodic tasks, preemptive earliest deadline first scheduling, scheduling, real-time systems, parallel algorithms, parallel algorithm, multiprocessing systems, parallel machines, parallel machines, task models, scheduling algorithms, deadlines, hard real-time system
13LihChyun Shu, Michal Young Chopping and versioning real-time transactions to avoid remote blocking. Search on Bibsonomy RTCSA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF real time transaction versioning, remote blocking, versioning protocols, chopping analysis, pure locking protocols, 1-version serializability, design time information, simple data structures, small bounded overheads, worst case access times, scheduling, real-time systems, protocols, concurrency control, multiprocessors, multiprocessing systems, transaction processing, configuration management, schedulability analysis, hard real time systems, critical sections, locking protocols, database concurrency control
13Randall S. Janka, Linda M. Wills Specification and synthesis of real-time embedded distributed and parallel multiprocessor-based signal processing systems. Search on Bibsonomy CASES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF MPI/RT, VCC, VSIPL, specification and design methodology, virtual component co-design, real-time, middleware, parallel processing, MPI, embedded, COTS, multiprocessing, MAGIC
13Konstantinos Kalpakis, Yaacov Yesha Upper and Lower Bounds on the Makespan of Schedules for Tree Dags on Linear Arrays. Search on Bibsonomy Algorithmica The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Tree dags, Mesh array, Scheduling, Parallel computation, Parallel architectures, Communication delay, Multiprocessing, Tree decomposition, Linear array
13Ireneusz Karkowski, Henk Corporaal Design of Heterogenous Multi-Processor Embedded Systems: Applying Functional Pipelining. Search on Bibsonomy IEEE PACT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF heterogenous multiprocessor embedded system design, functional pipelining, embedded program mapping, ANSI C program, application specific processor pipeline, frequency tracking system, two-processor system, highly optimized single core solution, architecture, multiprocessing systems, instruction level parallelism, speedup, efficient algorithm, loops
13Beng-Hong Lim, Philip Heidelberger, Pratap Pattnaik, Marc Snir Message Proxies for Efficient, Protected Communication on SMP Clusters. Search on Bibsonomy HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF message proxies, protected communication, custom hardware, IBM Model G30 SMPs, cache-miss latency, cache-update mechanism, performance model, multiprocessing systems, symmetric multiprocessor clusters
13Jing-Chiou Liou, Michael A. Palis A Comparison of General Approaches to Multiprocessor Scheduling. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF two phase method, task clustering, near optimal number of processors, task clustering algorithm, cluster merging, communication traffic minimizing, CTM, distributed memory parallel architectures, load balancing, multiprocessing systems, multiprocessor scheduling, task graphs, task graph scheduling
13James H. Anderson, Rohit Jain, Srikanth Ramamurthy Wait-free object-sharing schemes for real-time uniprocessors and multiprocessors. Search on Bibsonomy RTSS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF wait-free object-sharing schemes, real-time uniprocessors, real-time multiprocessors, priority inheritance protocol, breakdown utilization experiments, lock-based schemes, multiprocessing systems, nonblocking, user level, priority ceiling protocol
13Jonas Skeppstedt, Michel Dubois 0001 Hybrid compiler/hardware prefetching for multiprocessors using low-overhead cache miss traps. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF hybrid compiler/hardware prefetching, low-overhead cache miss traps, data prefetching technique, cache coherent multiprocessors, cache miss traps, trap handler, simulated multiprocessor, compiler, multiprocessors, multiprocessing systems
13Edward D. Moreno, Sergio Takeo Kofuji Efficiency of remote access caches in future SMP-based CC-NUMA multiprocessors: initial results. Search on Bibsonomy ISPAN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF shared remote access cache, future SMP based CC-NUMA multiprocessors, symmetric multiprocessor nodes, future architectures, realistic hardware parameters, state of the art systems components, SPLASH-2 benchmark suite, performance application, baseline architecture, approach-1, slow network, approach-2, fast network, 32-processor system, four-processor SMP nodes, two-processor SMP nodes, multiprocessing systems, execution time, cost effectiveness
13Yasuhiro Hirano, Fumiaki Miura, Tetsuji Satoh Extendible Hashing for Concurrent Insertions and Retrievals. Search on Bibsonomy PDP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF bucket multi-versioning, concurrent insertions, concurrent retrievals, global depth, directory entries, asynchronous modification, lock conflicts, read-only access, split bucket, simulation, concurrency control, multiprocessing systems, configuration management, speedup, file organisation, extendible hashing, processor number
13Xiaofan Yang, Tinghuai Chen, Zehan Cao, Zhongshi He, Hongqing Cao A New Scheme For The Fault Diagnosis Of Multiprocessor Systems. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF system-level probabilistic diagnosis, K-Step-Voting algorithm, generalised Majority-Voting algorithm, BGM model, pessimistic model, fault tolerance, fault diagnosis, high-performance computing, multiprocessing systems, fault model, multiprocessor systems, computer simulations, random graphs, PMC model, diagnosis algorithm, connection assignment, hypercube systems
13Gérard Y. Vichniac, Barry Isenstein, Craig Lund, Arlan Pool Mercury Computer Systems, Inc.: Planned Direct Transfers: A Programming Model for Real-Time Applications. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF parallel = software, message passing, multicomputing, multiprocessing
13Chung-Sei Rhee, Heok-Jung Kwon, Young-Tak Kwon, Byung-Whan Choi On the real time diagnosability of multiprocessor systems by comparison approach. Search on Bibsonomy RTCSA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF real time diagnosability, comparison approach, multiprocessing systems, multiprocessor systems, polynomial time algorithm, faulty processors
13Manhoi Choy Relaxed consistency requirements for replicated objects. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF weaker consistency conditions, concurrent sets, ordered set dictionary problem, fault tolerance, object-oriented programming, concurrency control, availability, multiprocessing systems, distributed environment, replicated objects
13Jie Xu 0007, Brian Randell Roll-forward error recovery in embedded real-time systems. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF roll-forward error recovery, checkpointing schemes, time-critical applications, checkpoint validation steps, fault-free processors, interaction-intensive applications, checkpoint validation, real-time systems, fault tolerant computing, distributed processing, multiprocessing systems, system recovery, embedded real-time systems
13Anthony-Trung Nguyen, Maged M. Michael, Arun Sharma, Josep Torrellas The Augmint multiprocessor simulation toolkit for Intel x86 architectures. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Augmint multiprocessor simulation toolkit, Intel x86 architectures, publicly available simulation tools, instruction mix, memory reference patterns, CISC architectures, execution driven multiprocessor simulation toolkit, m4 macro extended C, C++ applications, SPLASH-2 benchmark suites, thread based programming model, shared global address space, private stack space, simulator interface, MINT simulation toolkit, x8d based uniprocessor systems, multiprocessing systems, trace driven simulation, architecture simulators, uniprocessors
13C. R. Venugopal, S. S. S. P. Rao Impact of Delays in Parallel I/O System: An Empirical Study. Search on Bibsonomy HPDC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF parallel input output system, disk access delays, disk performance, parallel distributed file system, parallel processing, delays, distributed databases, multiprocessing systems, multiprocessor system, software performance evaluation, communication links, application performance, processor speeds, performance benefits
13Santhosh Kumaran, Michael J. Quinn Automatic Exploitation of Dual Level Parallelism on a Network of Multiprocessors. Search on Bibsonomy HPDC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF dual level parallelism, multiprocessor workstation network, problem oriented approach, hybrid processing environment, parallel programming, computer networks, multiprocessing systems, granularity, scientific application, algorithm design, software environment, high performance distributed computing
13Andreas Eberhart, Jinke Li Optimizing communication for array operations on message-passing multicomputers. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF contention-free data transfer, scalability, parallel programming, message passing, multiprocessing systems, communication complexity, scheduling algorithm, parallel languages, distributed-memory multicomputers, communication patterns, network resources, data-parallel languages, array operations, message-passing multicomputers
13Xuemin Lin 0001, Simon Fox An effective parallelization of execution of multijoins in multiprocessor systems. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multijoins execution, synchronous execution strategy, processor allocation problem, inter-operator parallelization problem, parallelization, relational databases, multiprocessing systems, multiprocessor systems, processor scheduling
13Cosimo Antonio Prete, Luigi M. Ricciardi, Gianpaolo Prina Reducing coherence-related overhead in multiprocessor systems. Search on Bibsonomy PDP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF coherence-related overhead, snooping protocol, shared copies, performance evaluation, caching, consistency, multiprocessing systems, multiprocessor systems, shared memory systems, concurrent processes
13Josef Altmann, Ansgar Böhm Algorithm-based fault-tolerant programming in scientific computation on multiprocessors. Search on Bibsonomy PDP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF algorithm-based fault-tolerant programming, parallel run-time environment, error processing techniques, execution time overhead, master/slave programming model, parallel algorithms, parallel algorithms, parallel programming, multiprocessors, programming environments, multiprocessing systems, scientific computation, software fault tolerance
13Xiaohan Qin, Jean-Loup Baer A comparative study of conservative and optimistic trace-driven simulations. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF optimistic trace-driven simulations, conservative trace-driven simulations, parallel trace driven simulation, parallel simulation schemes, shared references, Time Warp like algorithm, debugging effort, general architectural simulation, optimistic scheme, parallel algorithms, parallel architectures, virtual machines, multiprocessing systems, multiprocessor systems, memory hierarchy, memory architecture, comparative study, time warp simulation
13Ishfaq Ahmad, Yu-Kwong Kwok A parallel approach for multiprocessor scheduling. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF parallel approach, low complexity static scheduling, arbitrary network topologies, iPSC/860 hypercube, task graph size, communication-to-computation ratio, target system topology, scheduling, parallel algorithms, parallel algorithm, computational complexity, delays, message passing, multiprocessing systems, network topology, hypercube networks, multiprocessor scheduling, communication delays, task graph, message routing, parallel tasks, message-passing architectures, link contention
13Guillermo A. Alvarez, Marcelo O. Fernández, Ragelio A. Alvez, Sylvia Rodriguez, Julio A. Sánchez Avalos, Jorge L. C. Sanz Run-time support for asynchronous parallel computations. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF asynchronous parallel computations, DREAM, asynchronous multiprocessors, global distributed arrays, dynamic communication patterns, performance evaluation, scalability, parallel computations, programming environments, multiprocessing systems, network latency, run-time support, performance results, distributed programming environment
13Amitabh Mishra, Yeimkuan Chang, Laxmi N. Bhuyan, Fabrizio Lombardi Fault-tolerant sorting in SIMD hypercubes. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF fault-tolerant sorting, SIMD hypercubes, fault tolerant computing, multiprocessors, sorting, multiprocessing systems, hypercube networks, node failures, radix sort
13Christopher Connelly, Carla Schlatter Ellis A workload characterization for coarse-grain multiprocessors. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF coarse-grain multiprocessors, associated coherency maintenance, memory blocks, cache-coherent multiprocessors, page-based distributed shared memory systems, fine-grain systems, performance evaluation, performance, scalability, replication, multiprocessing systems, workload characterization, granularity, scalable shared memory multiprocessors
13Paraskevi Fragopoulou, Selim G. Akl Efficient algorithms for global data communication on the multidimensional torus network. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF global data communication, multidimensional torus network, parallel multiprocessors, spanning graph, multinode broadcasting, all-port communication model, performance evaluation, performance, algorithms, parallel algorithms, multiprocessing systems, optimal algorithms, interprocessor communication, scattering, store-and-forward
13Yang Zeng, Santosh G. Abraham Partitioning regular grid applications with irregular boundaries for cache-coherent multiprocessors. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF partitioning regular grid applications, irregular boundaries, cache-coherent multiprocessors, regular grid, domain decomposition techniques, message passing multiprocessors, false coherency traffic, cache line, coalescing algorithm, domain decomposition algorithm, Indian Ocean circulation application, KSR1 multiprocessor, coherency traffic, message passing, multiprocessing systems, interprocessor communication
13Lawrence Rauchwerger, David A. Padua Parallelizing while loops for multiprocessor systems. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF parallelizing while loops, do loops, conditional exits, sequential constructs, data dependence relations, sparse matrix packages, multiprocessing systems, multiprocessor systems, program compilers, parallelizing compilers, parallelising compilers, linked lists, iteration space, sparse matrix computations
13Jay K. Adams, Donald E. Thomas Multiple-process behavioral synthesis for mixed hardware-software systems. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF automated iterative improvement technique, concurrency optimization, concurrency tradeoffs, cost/performance ratio, hardware-software tradeoffs, mixed hardware-software systems, multiple-process behavioral synthesis, software engineering, resource allocation, concurrency control, controllers, optimisation, high level synthesis, logic design, multiprocessing systems, microprocessors, ASICs, application specific integrated circuits, ASIC, microprocessor chips, cost-benefit analysis
13Filip Thoen, Marco Cornero, Gert Goossens, Hugo De Man Real-time multi-tasking in software synthesis for information processing systems. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF automatic processor mapping, automatically generated application-specific solution, concurrent process system specification, flexible execution models, hardware resource utilization, information processing systems, internal representation model, mobile satellite communication, personal terminal receiver demodulator, real-time multi-tasking, static information, time utilization, real-time systems, embedded systems, concurrency control, processor scheduling, timing constraints, computer aided software engineering, software synthesis, multiprocessing programs
13Clifford Liem, Pierre G. Paulin, Marco Cornero, Ahmed Amine Jerraya Industrial experience using rule-driven retargetable code generation for multimedia applications. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF VideoPhone codec controller, audio telecommunications, dedicated compiler availability, high-fidelity audio, optimization abilities, rule-driven retargetable code generation, video telecommunications, knowledge based systems, computer architecture, multiprocessing systems, multimedia systems, application specific integrated circuits, multimedia applications, application-specific instruction set processors, instruction sets, telecommunication computing, codecs, VLIW processor, VLIW architecture, transformation rules, controller architecture, optimising compilers, industrial experience, videotelephony, target architecture, MPEG audio
13Markus Schwiegershausen, Peter Pirsch A system level design methodology for the optimization of heterogeneous multiprocessors. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF parametrizable processor modules, programmable processors, system level design methodology, optimization, real-time systems, image processing, linear programming, optimisation, integer programming, multiprocessing systems, heterogeneous systems, mixed integer linear programming, CAD tool, image processing algorithms, heterogeneous multiprocessors, mathematical framework
13Tatsuhiro Tsuchiya, Yoshiaki Kakuda, Tohru Kikuno A new fault-tolerant scheduling technique for real-time multiprocessor systems. Search on Bibsonomy RTCSA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF real-time multiprocessor systems, task laxity, scheduling, fault-tolerant, fault-tolerance, real-time systems, fault tolerant computing, multiprocessing systems, multiprocessor systems, task scheduling, processor scheduling, aperiodic tasks
13Yasuhiro Kokusho, Norihisa Doi Scheduling hard-realtime parallel tasks onto the processor network with wrapped mesh topology. Search on Bibsonomy RTCSA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF realtime parallel tasks, wrapped mesh topology, load-distribution mechanism, Double-Layered Load-Distribution, DLLD, scheduling, real-time systems, parallel processing, parallel computing, resource allocation, multiprocessing systems, multiprocessor systems, processor scheduling
13Hea-Sook Park, Sung-Jin Moon, Hwan-Gun Yeo, Kwang-Suk Song An application of real-time IPC controller based ATM cell. Search on Bibsonomy RTCSA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF real-time IPC controller, ATM cell, ATM switching system, IPC protocol, real message ratio, real-time systems, asynchronous transfer mode, multiprocessors, multiprocessing systems, telecommunication computing
13Orly Kremien Buying and selling computational power over the network. Search on Bibsonomy ICCCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF computational power selling, computational power buying, supercomputer speeds, high data rate applications, high-bandwidth communications, extended adaptive partitioning algorithm, mutual interest, client selection, scalability, network, multiprocessing systems, processors, workstations, data-intensive applications, server selection
13Lennart Lindh, Johan Stärner, John Furunäs From single to multiprocessor real-time kernels in hardware. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF multiprocessor real-time kernels, single processor real-time kernels, improved performance, improved determinism, integrated deterministic CPU, deterministic multitasking real time kernel, high performance multitasking real time kernel, high performance standalone multitasking real time kernel, deterministic standalone multitasking real time kernel, heterogeneous multiprocessor real-time systems, homogeneous multiprocessor real-time systems, scheduling, performance evaluation, real-time systems, multiprocessing systems, hardware, reconfigurable architectures, processor scheduling, multiprogramming, operating system kernels, firmware
13Emile Haddad Optimal load distribution for asynchronously scheduled homogeneous multiprocessor and distributed systems. Search on Bibsonomy HICSS (2) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF optimal load distribution, asynchronously scheduled homogeneous multiprocessor systems, interacting tasks, identical processors, job completion time minimization, execution initiation times, earliest availability, load parameters, optimal load allocation, uneven module distribution, distributed systems, resource allocation, distributed processing, multiprocessing systems, processor scheduling, minimisation, system parameters, processor assignment
13Naoshi Uchihira, Shinichi Honiden Compositional adjustment of concurrent programs to satisfy temporal logic constraints in MENDELS ZONE. Search on Bibsonomy HICSS (2) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF fifth generation systems, compositional adjustment, correct concurrent program development, temporal logic constraints, MENDELS ZONE, program adjustment, finite-state process, arbiter process, partial serialization, imperfect processes, harmful nondeterministic behaviors, large-scale compound target processes, process equivalence theory, Multi-PSI, MENDEL concurrent programming language, kernel language, KL1, parallel computer, temporal logic, programming environments, programming environment, program debugging, high-level Petri net, constraint handling, multiprocessing programs, formal approach
13Stefan Ronngren, Behrooz A. Shirazi Static multiprocessor scheduling of periodic real-time tasks with precedence constraints and communication costs. Search on Bibsonomy HICSS (2) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF static multiprocessor scheduling, periodic real-time tasks, large task sets, exclusion relation, heuristic scheduling algorithms, clustering, real-time systems, resource allocation, NP-complete, multiprocessing systems, communication complexity, mutual exclusion, processor scheduling, timing constraints, task allocation, communication costs, precedence constraints, multiprocessor architecture, precedence relations, scheduling methods
13William T. Rankin, John A. Board Jr. A Portable Distributed Implementation of the Parallel Multipole Tree Algorithm. Search on Bibsonomy HPDC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF astronomy computing, portable distributed implementation, parallel multipole tree algorithm, loosely coupled networks, tightly coupled distributed multiprocessors, parallel algorithms, multiprocessing systems, chemistry computing, computational chemistry
13Byoung-Joon Min, Sang-Seok Shin, Kee-Wook Rim Design and analysis of a multiprocessor system with extended fault tolerance. Search on Bibsonomy FTDCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF extended fault tolerance, scalable multiprocessor system, tree-type interconnection networks, computational complexity, reliability, fault tolerant computing, multiprocessor interconnection networks, latency, multiprocessing systems, multiprocessor system, performance penalty, implementation complexity
13Michael Yang, Ahmed N. Tantawy A design methodology for protocol processors. Search on Bibsonomy FTDCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF protocol processors, FCS, Fibre Channel Standard, homogeneous multi-processors, single VLSI chip, VHDL macro libraries, VLSI protocol processors, CVDS, Communication VLSI Design System, protocols, asynchronous transfer mode, ATM, multiprocessing systems, communication protocols
13Ben C. Moszkowski Compositional reasoning about projected and infinite time. Search on Bibsonomy ICECCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF multiple time granularities, parallel programming, concurrency, temporal logic, temporal logic, concurrency control, theorem proving, multiprocessing systems, deadlock, commitments, concurrent system, assumptions, Interval Temporal Logic
13Shahram Latifi, Manju V. Hegde, Morteza Naraghi-Pour Conditional Connectivity Measures for Large Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF conditional connectivity, large regular graphs, n-dimensional cube, fault tolerant computing, hypercube, multiprocessing systems, multiprocessor systems, hypercube networks, vertex connectivity
13Dhiraj K. Pradhan, Nitin H. Vaidya Roll-Forward Checkpointing Scheme: A Novel Fault-Tolerant Architecture. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF roll-forward checkpointing scheme, active processing modules, duplex system redundancy, fault tolerant computing, redundancy, multiprocessing systems, fault-tolerant architecture, triple modular redundant system, multiprocessor environment
13Chao-Ju Hou, Kang G. Shin Incorporation of Optimal Timeouts into Distributed Real-Time Load Sharing. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF optimal timeouts, distributed real-time load sharing, timeout mechanism, state-region change broadcasts, communication timeouts, system load, task attributes, hypothesis testing problem, Bayesian technique, region-change broadcasts, broadcast information, task transfer, broadcast message, state-region changes, broadcast interval, scheduling, real-time systems, parallel algorithms, resource allocation, fault tolerant computing, software reliability, multiprocessing systems, Bayes methods, distributed real-time system, node failures
13Prasant Mohapatra, Chita R. Das, Tse-Yun Feng Performance Analysis of Cluster-Based Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF cluster-based multiprocessors, M/D/1/L queues, finite buffer behavior, system level analysis, results validation, buffer length, bottleneck centre identification, design configurations, simulation, simulation, performance evaluation, performance analysis, interconnection network, throughput, multiprocessor interconnection networks, queueing theory, multiprocessing systems, buffer storage, queueing model, design alternatives, decomposition technique, subsystems, processor utilization, average delay, deterministic service time
13Syed Masud Mahmud Performance Analysis of Multilevel Bus Networks for Hierarchical Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF multilevel bus networks, hierarchical multiprocessors, partial multiple bus system, bus architecture, hierarchical multiprocessor design, synchronous multilevel bus systems, asynchronous multilevel bus systems, hierarchical reference model, MVA algorithm, performance evaluation, fault tolerance, performance analysis, parallel architectures, connections, queueing theory, multiprocessing systems, analytical models, bandwidth, queueing networks, switches, simulation models, memory bandwidth, packet-switched networks, cost-effectiveness, system buses, local computations, memory modules
13Balkrishna Ramkumar, Laxmikant V. Kalé Machine Independent AND and OR Parallel Execution of Logic Programs: Part I-The Binding Environment. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF binding environment, nonshared memory multiprocessors, Chare Kernel, parallel programming, compiler, logic programming, logic programs, multiprocessing systems, shared memory multiprocessors, parallel execution
13Douglas M. Blough, Andrzej Pelc Almost Certain Fault Diagnosis Through Algorithm-Based Fault Tolerance. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF failureanalysis, almost certain fault diagnosis, multiprocessorsystems, incorrect computations, concurrent error detection mechanisms, erroneous dataelements, reliability, fault tolerant computing, multiprocessing systems, error detection, probabilistic analysis, concurrent error detection, algorithm-based fault tolerance
13Balkrishna Ramkumar, Laxmikant V. Kalé Machine Independent AND and OR Parallel Execution of Logic Programs: Part II-Compiled Execution. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF Reduce-OR process model, Encore Multimax, Sequent Symmetry, NCUBE 2, Intel i860 hypercube, Chare kernel, scale linearly, performance, parallel programming, parallel programming, compiler, logic programming, logic programs, multiprocessing systems, program compilers, parallel execution, Sun workstations
13Myung K. Yang, Chita R. Das Evaluation of a Parallel Branch-and-Bound Algorithm on a Class of Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF parallel branch-and-bound algorithm, MIN-based multiprocessorsystems, serial best-first search, conflict-free mapping scheme, performance evaluation, parallel algorithms, multiprocessor interconnection networks, multiprocessing systems, probabilistic model, communication overheads, computation overheads
13Shridhar B. Shukla, Dharma P. Agrawal A Framework for Mapping Periodic Real-Time Applications on Multicomputers. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF periodic real-time applications, task-flow graphs, communication requirements, segmenting messages, contention-based objectivefunction, interval sequencing, message-interval assignment, path assignment, periodicreal-time, scheduled routing, task-flow graph, scheduling, real-time systems, multiprocessing systems, schedulability analysis, task allocation, multicomputers, message routing
13Alan Olson, Kang G. Shin Fault-Tolerant Clock Synchronization in Large Multicomputer Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF fault-tolerant clock synchronization, large multicomputer systems, clock value, maximum skew, maximum time, fault tolerance, reliability, fault tolerant computing, multiprocessing systems, synchronisation, clocks, clock skew, clock drift, synchronization algorithm
13Brian A. Malloy, Errol L. Lloyd, Mary Lou Soffa Scheduling DAG's for Asynchronous Multiprocessor Execution. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF asynchronous multiprocessor execution, sequential instructionstream, execution costs, datadependencies, Data General shared memory multiprocessor system, scheduling, scheduling, parallel programming, parallelism, concurrency, shared memory systems, DAG, communication costs, instruction sets, multiprocessing programs, fine grained parallelism
13Brian M. Carlson, Lawrence W. Dowdy Static Processor Allocation in a Soft Real-Time Multiprocessor Environment. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF static processor allocation, soft real-time multiprocessor environment, parallelenvironment, static allocation policies, equal partitions, two partitions, 16-node iPSC/2hypercube, real-time systems, resource allocation, hypercube networks, multiprocessing programs
13Bapiraju Vinnakota, Niraj K. Jha Design of Algorithm-Based Fault-Tolerant Multiprocessor Systems for Concurrent Error Detection and Fault Diagnosis. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF fault-tolerant multiprocessor systems, algorithm-basedmultiprocessor systems, algorithm-based faulttolerance, low-overhead system-level error detection, fault location scheme, ABFTsystems, design procedure, data element sharing, ABFT system design, reliability, fault diagnosis, fault tolerant computing, multiprocessing systems, fault location, system recovery, concurrent error detection, parallelarchitectures
13Yaron Wolfstahl, Michael Yoeli An Equivalence Theorem for Labeled Marked Graphs. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF equivalence theorem, labeledmarked graphs, structural determinism, sequential language, firing sequences, Petri nets, Petri nets, multiprocessing systems, formal languages, transitions, concurrent language
13Sunggu Lee, Kang G. Shin On Probabilistic Diagnosis of Multiprocessor Systems Using Multiple Syndromes. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF probabilistic diagnosis, multiple syndromes, distributedself-diagnosis, comparison testing, diagnostic accuracy, sparseinterconnection networks, interprocessor tests, low fault coverage, system-leveldiagnosis, performance evaluation, fault tolerant computing, probability, multiprocessor, multiprocessing systems, multiprocessor systems, multicomputer, self-test, intermittent fault, diagnosis algorithms, fault-tolerantcomputing
13Edwin S. H. Hou, Nirwan Ansari, Hong Ren A Genetic Algorithm for Multiprocessor Scheduling. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF robust stochastic search algorithms, random task graphs, robot inverse dynamics computational task graph, genetic algorithms, genetic algorithm, simulation, scheduling, performance evaluation, optimization, computational complexity, optimisation, multiprocessing systems, NP-hard, heuristic search, multiprocessor scheduling, list scheduling
13G. N. Srinivasa Prasanna, Anant Agarwal, Bruce R. Musicus Hierarchical Compilation of Macro Dataflow Graphs for Multiprocessors with Local Memory. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF hierarchicalcompilation, macro dataflow graphs, macro operations, precedenceconstraints, multiple nested loops, partitioning phase, close-to-optimal run-times, prototype structure-driven compiler, Alewife multiprocessor, simulator, performance evaluation, performance, multiprocessing systems, program compilers, processor allocation, nested loops, local memory, SDC
13Eva Ma, Dennis G. Shea E-Kernel: An Embedding Kernel on the IBM Victor V256 Multiprocessor for Program Mapping and Network Reconfiguration. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF E-kernel, embedding kernel, IBM victor V256 multiprocessor, program mapping, message-passing partitionable multiprocessor, new network topology, 2D mesh network, task graph topologies, communication network topology, real-time systems, parallel programming, graph theory, message passing, multiprocessing systems, parallel system, task graph, program diagnostics, communication model, asymptotically optimal, reconfigured network, network reconfiguration
13Kang G. Shin, Chao-Ju Hou Design and Evaluation of Effective Load Sharing in Distributed Real-Time Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF minimum-laxity first-served policy, loss-minimizing decisions, buddy set, Bayesian decision analysis, simulation, performance evaluation, real-time systems, resource allocation, delays, multiprocessing systems, decision theory, Bayes methods, distributed real-time systems, probability distributions, load sharing
13Shahram Ghandeharizadeh, David J. DeWitt MAGIC: A Multiattribute Declustering Mechanism for Multiprocessor Database Machines. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF multiattribute declusteringmechanism, multiprocessor database machines, partitioningattribute, multiattribute grid declustering, parallel programming, distributed databases, database management systems, multiprocessing systems, special purpose computers, data placement, MAGIC, parallel database systems, shared-nothing architecture
13Gang Luo, Gregor von Bochmann, Alexandre Petrenko Test Selection Based on Communicating Nondeterministic Finite-State Machines Using a Generalized WP-Method. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF communicating nondeterministic finite-state machines, generalized Wp-method, conformance relation, trace-equivalence, deterministic finite-state machines, software engineering, software engineering, protocols, software testing, finite state machines, specification languages, program testing, concurrent programs, communication protocols, fault coverage, conformance testing, programming theory, SDL, reachability analysis, test suites, multiprocessing programs, test selection, protocol engineering, test sequence generation, protocol conformance testing
13Noé Lopez-Benitez Dependability Modeling and Analysis of Distributed Programs. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF program reliability, program availability, distributed computing system environment, repair actions, global repair mode, centralized repair team, system status restoration, failure state, local repair model, program interruption, Petri nets, distributed algorithms, software reliability, distributed programs, stochastic processes, programming theory, dependability analysis, system recovery, stochastic Petri nets, program diagnostics, hardware support, multiprocessing programs, program execution, file distribution, dependability modeling, hardware faults
13Masato Notomi, Tadao Murata Hierarchical Reachability Graph of Bounded Petri Nets for Concurrent-Software Analysis. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF hierarchical reachability graph, bounded Petri nets, concurrent-software analysis, communication protocol software, hierarchically organized state space, deadlock state, successor states, software engineering, Petri nets, efficiency, tractability, markings, state explosion, multiprocessing programs, hierarchical systems, state-space methods, Ada programs
Displaying result #401 - #500 of 778 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license