The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for placement with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1974 (19) 1975-1976 (15) 1977-1979 (22) 1980-1981 (16) 1982-1983 (24) 1984 (27) 1985 (26) 1986 (26) 1987 (32) 1988 (59) 1989 (61) 1990 (83) 1991 (70) 1992 (68) 1993 (64) 1994 (105) 1995 (111) 1996 (112) 1997 (139) 1998 (171) 1999 (197) 2000 (234) 2001 (257) 2002 (302) 2003 (397) 2004 (451) 2005 (570) 2006 (603) 2007 (649) 2008 (669) 2009 (551) 2010 (388) 2011 (436) 2012 (449) 2013 (566) 2014 (551) 2015 (643) 2016 (643) 2017 (791) 2018 (838) 2019 (982) 2020 (922) 2021 (962) 2022 (978) 2023 (949) 2024 (223)
Publication types (Num. hits)
article(6401) book(10) data(5) incollection(69) inproceedings(9778) phdthesis(188)
Venues (Conferences, Journals, ...)
CoRR(860) DAC(375) IEEE Trans. Comput. Aided Des....(334) ICCAD(252) IEEE Access(221) ISPD(208) GLOBECOM(171) ICC(167) ASP-DAC(163) DATE(127) Sensors(123) ICRA(109) INFOCOM(102) FPL(101) ACC(94) FPGA(88) More (+10 of total 2867)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 5436 occurrences of 2452 keywords

Results
Found 16451 publication records. Showing 16451 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
26Hai Liu 0001, Peng-Jun Wan, Xiaohua Jia Fault-Tolerant Relay Node Placement in Wireless Sensor Networks. Search on Bibsonomy COCOON The full citation details ... 2005 DBLP  DOI  BibTeX  RDF fault-tolerant, sensor networks, relay node placement
26Aman Gayasen, Yuh-Fang Tsai, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Tim Tuan Reducing leakage energy in FPGAs using region-constrained placement. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF region-constrained placement, FPGA, leakage power
26Dennis K. Y. Tong, Evangeline F. Y. Young Performance-driven register insertion in placement. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF post-retiming, register insertion, placement
26Ateen Khatkhate, Chen Li 0004, Ameya R. Agnihotri, Mehmet Can Yildiz, Satoshi Ono, Cheng-Kok Koh, Patrick H. Madden Recursive bisection based mixed block placement. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF mixed block design, placement, floorplanning
26Ting-Yuan Wang, Jeng-Liang Tsai, Charlie Chung-Ping Chen Sensitivity guided net weighting for placement driven synthesis. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnect, sensitivity analysis, physical synthesis, timing driven placement, net weight
26Haoxing Ren, David Zhigang Pan, David S. Kung 0001 Sensitivity guided net weighting for placement driven synthesis. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnect, sensitivity analysis, physical synthesis, timing driven placement, net weight
26Wagner Moro Aioffi, Geraldo Robson Mateus, Jussara M. Almeida, Raquel C. de Melo Dynamic Content Placement for Mobile Content Distribution Networks. Search on Bibsonomy WCW The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Dynamic content placement, mobile networks, demand forecasting
26Keqiu Li, Hong Shen 0001 Proxy Placement in Coordinated En-Route Transcoding Caching for Tree Networks. Search on Bibsonomy ISPAN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Transcoding proxy placement, World Wide Web, dynamic programming, optimization problem, tree networks
26Andrew B. Kahng, Sherief Reda Placement feedback: a concept and method for better min-cut placements. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF min-cut placement, terminal propagation, feedback
26Keqiu Li, Hong Shen 0001 Optimal Methods for Object Placement in En-Route Web Caching for Tree Networks and Autonomous Systems. Search on Bibsonomy GCC (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF dynamic programming, Web caching, autonomous system, tree network, object placement
26Bo Hu 0006, Malgorzata Marek-Sadowska Wire length prediction based clustering and its application in placement. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF wire length prediction, clustering, placement
26Haihua Su, Sachin S. Sapatnekar, Sani R. Nassif An algorithm for optimal decoupling capacitor sizing and placement for standard cell layouts. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF adjoint sensitivity, optimization, placement, ASICs, decoupling capacitor, power grid noise
26Evangeline F. Y. Young, Chris C. N. Chu, M. L. Ho A Unified Method to Handle Different Kinds of Placement Constraints in Floorplan Design. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF placement constraint, physical design, floorplanning
26Chandra Mulpuri, Scott Hauck Runtime and quality tradeoffs in FPGA placement and routing. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF fast CAD for FPGAs, FPGAs, routing, computer-aided design, placement
26Kiarash Barzagan, Majid Sarrafzadeh Fast Online Placement for Reconfigurable Computing. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF fast placement, CAD algorithms, efficient algorithms, Reconfigurable systems, FPGA architecture
26Phiroze N. Parakh, Richard B. Brown, Karem A. Sakallah Congestion Driven Quadratic Placement. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF congestion, global routing, quadratic placement, routing models, supply-demand, relaxed pins
26Maogang Wang, Prithviraj Banerjee, Majid Sarrafzadeh Potential-NRG: Placement with Incomplete Data. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF congestion, global routing, quadratic placement, routing models, supply-demand, relaxed pins
26Henrik Esbensen, Ernest S. Kuh A performance-driven IC/MCM placement algorithm featuring explicit design space exploration. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF timing-driven building-block placement, design space exploration
26Robert N. Smith, Sourav Bhattacharya Firewall placement in a large network topology. Search on Bibsonomy FTDCS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF firewall placement heuristics, large network topology, multi-user distributed information environment, firewall cascade, potential attack point, comprehensive security protection, end-to-end security protection, simulation, performance, network security, completeness, authorisation, operations research, cost optimization, delay minimization, sensitive data, confidence degree
26Masato Edahiro, Richard J. Lipton Clock Buffer Placement Algorithm for Wire-Delay-Dominated Timing Model. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF VLSI, CAD, Placement, Layout, Buffer, Clock
26Elof Frank, Thomas Lengauer APPlaUSE: Area and performance optimization in a unified placement and synthesis environment. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF scheduling of communications, high-level synthesis, placement, estimation
26Habib Youssef, Sadiq M. Sait, Khaled Nassar, Muhammad S. T. Benten Performance driven standard-cell placement using the genetic algorithm. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF connection length, timing-driven placer, /spl alpha/-criticality, delay performance improvement, genetic algorithms, genetic algorithm, delays, timing, logic CAD, circuit layout CAD, cellular arrays, integrated circuit layout, critical paths, area, propagation delays, wire length, timing performance, IC design, standard-cell placement
26Peter Litwinowicz, Gavin S. P. Miller Efficient techniques for interactive texture placement. Search on Bibsonomy SIGGRAPH The full citation details ... 1994 DBLP  DOI  BibTeX  RDF texture placement, interaction, image warping, environment mapping
26Daniele D. Caviglia, Giacomo M. Bisio, Francesco Curatelli, L. Giovannacci, Luigi Raffo Pre-placement of VLSI blocks through learning neural networks. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF Neural Net Models, Placement, Optimization Techniques
26Wenjia Wu, Junzhou Luo, Ming Yang 0001 Gateway placement optimization for load balancing in wireless mesh networks. Search on Bibsonomy CSCWD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Ming Xu, Gary Gréwal, Shawki Areibi, Charlie Obimbo, Dilip K. Banerji Near-linear wirelength estimation for FPGA placement. Search on Bibsonomy CCECE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Geetika T. Lakshmanan, Ying Li, Robert E. Strom Placement Strategies for Internet-Scale Data Stream Systems. Search on Bibsonomy IEEE Internet Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Tao Xie 0004 SEA: A Striping-Based Energy-Aware Strategy for Data Placement in RAID-Structured Storage Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Reliability, Distributed applications, Real-time and embedded systems, Energy-aware systems, Scheduling and task partitioning, availability and serviceability, Load balancing and task assignment, Real-time distributed
26Zhen Guo, MengChu Zhou, Guofei Jiang Adaptive Sensor Placement and Boundary Estimation for Monitoring Mass Objects. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Part B The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Ulrich Brenner, Markus Struzyna, Jens Vygen BonnPlace: Placement of Leading-Edge Chips by Advanced Combinatorial Algorithms. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Tung-Chieh Chen, Ping-Hung Yuh, Yao-Wen Chang, Few-Juh Huang, T.-Y. Liu MP-Trees: A Packing-Based Macro Placement Algorithm for Modern Mixed-Size Designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Rashedur M. Rahman, Ken Barker 0001, Reda Alhajj Replica Placement Strategies in Data Grid. Search on Bibsonomy J. Grid Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Replication, Data Grid, Distributed environment, Network latency, Dynamic allocation
26Katherine E. Coons, Behnam Robatmili, Matthew E. Taylor, Bertrand A. Maher, Doug Burger, Kathryn S. McKinley Feature selection and policy optimization for distributed instruction placement using reinforcement learning. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF compiler heuristics, genetic algorithms, neural networks, machine learning, instruction scheduling
26Waleed Alsalih, Selim G. Akl, Hossam S. Hassanein Placement of Multiple Mobile Data Collectors in Underwater Acoustic Sensor Networks. Search on Bibsonomy ICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Bin Lin 0001, Pin-Han Ho, Liang-Liang Xie, Xuemin Shen Relay Station Placement in IEEE 802.16j Dual-Relay MMR Networks. Search on Bibsonomy ICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Yanfeng Wang, Qiang Zhou 0001, Yici Cai, Jiang Hu, Xianlong Hong, Jinian Bian Low power clock buffer planning methodology in F-D placement for large scale circuit design. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Qing Dong 0002, Shigetoshi Nakatake Constraint-free analog placement with topological symmetry structure. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Jie Hao, Silong Peng HJ-hPl: Hierarchical Mixed-Size Placement Algorithm with Priori Wirelength Estimation. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Taylan Yemliha, Shekhar Srikantaiah, Mahmut T. Kandemir, Mustafa Karaköy, Mary Jane Irwin Integrated code and data placement in two-dimensional mesh based chip multiprocessors. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Satyajayant Misra, Seung Don Hong, Guoliang Xue, Jian Tang 0008 Constrained Relay Node Placement in Wireless Sensor Networks to Meet Connectivity and Survivability Requirements. Search on Bibsonomy INFOCOM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Ataul Bari, Yufei Xu, Arunita Jaekel Integrated Placement and Routing of Relay Nodes for Fault-Tolerant Hierarchical Sensor Networks. Search on Bibsonomy ICCCN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26David Carrera 0001, Malgorzata Steinder, Ian Whalley, Jordi Torres, Eduard Ayguadé Enabling Resource Sharing between Transactional and Batch Workloads Using Dynamic Application Placement. Search on Bibsonomy Middleware The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Mohammad Shorfuzzaman, Peter Graham, M. Rasit Eskicioglu Popularity-Driven Dynamic Replica Placement in Hierarchical Data Grids. Search on Bibsonomy PDCAT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Quanhong Wang, Kenan Xu, Glen Takahara, Hossam S. Hassanein Transactions Papers - Device Placement for Heterogeneous Wireless Sensor Networks: Minimum Cost with Lifetime Constraints. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Andrew B. Kahng, Bao Liu 0001, Qinke Wang Stochastic Power/Ground Supply Voltage Prediction and Optimization Via Analytical Placement. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Chao-Yang Yeh, Malgorzata Marek-Sadowska Timing-Aware Power-Noise Reduction in Placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26M. Thenappan, Arasu T. Senthil, K. M. Sreekanth, Ramesh S. Guzar An Overlap Removal Algorithm for Macrocell Placement in VLSI Layouts. Search on Bibsonomy ICCTA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Subramanian Ramaswamy, Sudhakar Yalamanchili Customized Placement for High Performance Embedded Processor Caches. Search on Bibsonomy ARCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Natarajan Viswanathan, Gi-Joon Nam, Charles J. Alpert, Paul Villarrubia, Haoxing Ren, Chris C. N. Chu RQL: Global Placement via Relaxed Quadratic Spreading and Linearization. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Prashant Saxena On controlling perturbation due to repeaters during quadratic placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Kanad Chakraborty, Alexey Lvov, Maharaj Mukherjee Novel algorithms for placement of rectangular covers for mask inspection in advanced lithography and other VLSI design applications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Andrew A. Kennings, Kristofer Vorwerk Force-Directed Methods for Generic Placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Lihong Zhang, Rabin Raut, Yingtao Jiang, Ulrich Kleine Placement Algorithm in Analog-Layout Designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Andrew B. Kahng, Sherief Reda Wirelength minimization for min-cut placements via placement feedback. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Kin-Hon Ho, Stylianos Georgoulas, Mina Amin, George Pavlou Managing Traffic Demand Uncertainty in Replica Server Placement with Robust Optimization. Search on Bibsonomy Networking The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Chien-Min Wang, Chun-Chen Hsu, Pangfeng Liu, Hsi-Min Chen, Jan-Jan Wu Optimizing Server Placement in Hierarchical Grid Environments. Search on Bibsonomy GPC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Guofang Nan, Minqiang Li, Wenlan Shi, Jisong Kou An Improved Genetic Algorithm for Cell Placement. Search on Bibsonomy ICIC (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Zhimin Fan, Ming Yang 0007, Ying Wu 0001, Gang Hua 0001, Ting Yu 0003 Efficient Optimal Kernel Placement for Reliable Visual Tracking. Search on Bibsonomy CVPR (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Trichy M. Kethara Pasupathy, Ravi Teja Seethamraju, Robert G. Wilhelm Evolutionary algorithm for the placement of fluid power valves on a valve stand. Search on Bibsonomy Eng. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Natarajan Viswanathan, Chris C. N. Chu FastPlace: efficient analytical placement using cell shifting, iterative local refinement, and a hybrid net model. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Yifeng Chen, Yanxiang He, Jiannong Cao 0001, Jie Wu 0001 A Greedy Algorithm for Capacity-Constrained Surrogate Placement in CDNs. Search on Bibsonomy NPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Constandinos X. Mavromoustakis, Helen D. Karatza Segmented File Sharing with Recursive Epidemic Placement Policy for Reliability in Mobile Peer-to-Peer Devices. Search on Bibsonomy MASCOTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Jurjen Westra, Patrick Groeneveld Towards Integration of Quadratic Placement and Pin Assignment. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Wai-Kei Mak Modern FPGA constrained placement. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Yongqiang Lu 0001, Cliff C. N. Sze, Xianlong Hong, Qiang Zhou 0001, Yici Cai, Liang Huang, Jiang Hu Register placement for low power clock network. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Guofang Nan, Minqiang Li, Dan Lin 0002, Jisong Kou Adaptive Simulated Annealing for Standard Cell Placement. Search on Bibsonomy ICNC (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Nianmin Yao, Jiwu Shu, Weimin Zheng Improving the Data Placement Algorithm of Randomization in SAN. Search on Bibsonomy International Conference on Computational Science (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Raoul F. Badaoui, Ranga Vemuri Analog VLSI circuit-level synthesis using multi-placement structures. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Ulrich Brenner, Jens Vygen Legalizing a placement with minimum total movement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Saurabh N. Adya, Mehmet Can Yildiz, Igor L. Markov, Paul Villarrubia, Phiroze N. Parakh, Patrick H. Madden Benchmarking for large-scale placement and beyond. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Hao Li, Wai-Kei Mak, Srinivas Katkoori Force-Directed Performance-Driven Placement Algorithm for FPGAs. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Markus Olbrich, Erich Barke Placement Using a Localization Probability Model (LPM). Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Keoncheol Shin, Taewhan Kim An integrated approach to timing-driven synthesis and placement of arithmetic circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Takashi Nojima, Xiaoke Zhu, Yasuhiro Takashima, Shigetoshi Nakatake, Yoji Kajitani Multi-level placement with circuit schema based clustering in analog IC layouts. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Gang Chen 0020, Jason Cong Simultaneous Timing Driven Clustering and Placement for FPGAs. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Cristinel Ababei, Kia Bazargan Non-Contiguous Linear Placement for Reconfigurable Fabrics. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Fei Liu 0001 Pole Placement Control for Nonlinear Systems via Neural Networks. Search on Bibsonomy ISNN (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Vishal Khandelwal, Ankur Srivastava 0001 Leakage control through fine-grained placement and sizing of sleep transistors. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Devang Jariwala, John Lillis On interactions between routing and detailed placement. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Meng-Chen Wu, Yao-Wen Chang Placement with Alignment and Performance Constraints Using the B*-Tree Representation. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Wei-Lun Hung, Charles Addo-Quaye, Theo Theocharides, Yuan Xie 0001, Narayanan Vijaykrishnan, Mary Jane Irwin Thermal-Aware IP Virtualization and Placement for Networks-on-Chip Architecture. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Ranveer Chandra, Lili Qiu, Kamal Jain, Mohammad Mahdian Optimizing the Placement of Internet TAPs in Wireless Neighborhood Networks. Search on Bibsonomy ICNP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Savio S. H. Tse Approximate Algorithms for Document Placement in Distributed Web Servers. Search on Bibsonomy ISPAN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Sven Graupner, Artur Andrzejak 0001, Vadim E. Kotov, Holger Trinks Adaptive Service Placement Algorithms for Autonomous Service Networks. Search on Bibsonomy Engineering Self-Organising Systems The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Andrew E. Caldwell, Andrew B. Kahng, Igor L. Markov Hierarchical whitespace allocation in top-down placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Gwen Houtzager, Carey L. Williamson A Packet-Level Simulation Study of Optimal Web Proxy Cache Placement. Search on Bibsonomy MASCOTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Wonjoon Choi, Kia Bazargan Incremental Placement for Timing Optimization. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Abd-Elhamid M. Taha, Ahmed E. Kamal 0001 Optimal and Near Optimal Web Proxy Placement Algorithms for Networks with Planar Graph Topologies. Search on Bibsonomy ICDCS Workshops The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Hai Liu 0001, Xiaohua Jia, Deying Li 0001, Chan-Hee Lee Placement of Mirrored Web Servers in Ring Networks with Budget Constraint. Search on Bibsonomy ICDCS Workshops The full citation details ... 2003 DBLP  DOI  BibTeX  RDF mirrored servers, web server, ring networks, web proxy
26Yih-Chih Chou, Youn-Long Lin Effective enforcement of path-delay constraints inperformance-driven placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Tôru Egashira, Kousuke Nogami, Yoshiaki Kiriha Node inquiry function for strategic front-end placement. Search on Bibsonomy NOMS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Akira Miyashita, Toshihito Fujiwara, Tsutomu Maruyama A Placement/Routing Approach for FPGA Accelerators. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Andrew B. Kahng, Stefanus Mantik, Igor L. Markov Min-max placement for large-scale timing optimization. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Laurence Tianruo Yang Parallel Efficient Hierarchical Algorithms for Module Placement of Large Chips on Distributed Memory Architectures. Search on Bibsonomy PARELEC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Youngseok Lee, Kyoungae Kim, Yanghee Choi Optimization of AP Placement and Channel Assignment in Wireless LANs. Search on Bibsonomy LCN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Optimization, load balancing, WLAN, Network Design, ILP, IEEE 802.11b
26Phillip Christie A differential equation for placement analysis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Ivan D. Baev, Rajmohan Rajaraman Approximation algorithms for data placement in arbitrary networks. Search on Bibsonomy SODA The full citation details ... 2001 DBLP  BibTeX  RDF
26Jianbang Lai, Ming-Shiun Lin, Ting-Chi Wang, Li-C. Wang Module placement with boundary constraints using the sequence-pair representation. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Balakrishna Kumthekar, Fabio Somenzi Power and Delay Reduction via Simultaneous Logic and Placement Optimization in FPGAs. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Jason Helge Anderson, Jim Saunders, Sudip Nag, Chari Madabhushi, Rajeev Jayaraman A Placement Algorithm for FPGA Designs with Multiple I/O Standards. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 16451 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license