The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for repeater with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1948-1977 (15) 1978-1984 (23) 1986-1998 (24) 1999-2000 (17) 2001-2002 (25) 2003 (24) 2004 (15) 2005 (33) 2006 (31) 2007 (38) 2008 (36) 2009 (20) 2010 (15) 2011-2012 (21) 2013-2014 (20) 2015-2016 (24) 2017-2018 (19) 2019 (21) 2020-2021 (23) 2022 (19) 2023 (29) 2024 (5)
Publication types (Num. hits)
article(219) inproceedings(276) phdthesis(2)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 204 occurrences of 115 keywords

Results
Found 497 publication records. Showing 497 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Tokuhiro Kitami, Haruo Yamaguchi, Takashi Hoshino 0001, Takeo Murata An Experimental 800 Mbit/s Four-Level Repeater Compatible with the 60 MHz Analog System. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
18Humphrey W. Cheung, Victor J. Stalick, Jay A. Thomas Key Aspects in the Development of a 48-Channel Duobinary PCM Repeater. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 1979 DBLP  DOI  BibTeX  RDF
18Brian Gibson Equalization Design for a 600 MBd Quantized Feedback PCM Repeater. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 1979 DBLP  DOI  BibTeX  RDF
18Paul C. Davis, James F. Graczyk, William A. Griffin Design of an Integrated Circuit for the T1C Low-Power Line Repeater. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 1979 DBLP  DOI  BibTeX  RDF
18Aaron Weinberg The Effects of Repeater Hard-Limiting, Filter Distortion, and Noise on a Pseudo-Noise, Time-Of-Arrival Estimation System. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 1979 DBLP  DOI  BibTeX  RDF
18Frederick L. Riffle, Thomas E. Clark, San Chen, Carl G. Gilbert A Monolithic Low Power PCM Repeater Circuit. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 1979 DBLP  DOI  BibTeX  RDF
18Yoshitaka Takasaki Repeater Powering Plans for Fiber Optic Communication Systems. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 1978 DBLP  DOI  BibTeX  RDF
18Howard L. Weinberger Satellite Repeater Capacity for Two Carrier Level SCPC Operation. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 1978 DBLP  DOI  BibTeX  RDF
18Masao Kawashima, Isao Fudemoto, Yukou Mochida, Takao Uyehara 400 Mbit/s Digital Repeater Circuitry Provided by a New Design Method and Beam Lead GHz Transistors. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 1977 DBLP  DOI  BibTeX  RDF
18Aaron Weinberg Effects of a Hard Limiting Repeater on the Performance of a DPSK Data Transmission System. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 1977 DBLP  DOI  BibTeX  RDF
18Engel Roza, Peter W. Millenaar An Experimental 560 Mbits/s Repeater with Integrated Circuits. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 1977 DBLP  DOI  BibTeX  RDF
18Gianfranco L. Cariolaro, Franco Todero A General Spectral Analysis of Time Jitter Produced in a Regenerative Repeater. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 1977 DBLP  DOI  BibTeX  RDF
18Peter K. Runge An Experimental 50 Mb/s Fiber Optic PCM Repeater. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 1976 DBLP  DOI  BibTeX  RDF
18Ikutaro Kobayashi, Yasuo Taki On Optimal Analog Repeater Systems. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 1976 DBLP  DOI  BibTeX  RDF
18Terry Walzman Relationship of Repeater Error Objective to System Error Objective. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 1976 DBLP  DOI  BibTeX  RDF
18Philip Balaban Statistical evaluation of the error rate of the fiberguide repeater using importance sampling. Search on Bibsonomy Bell Syst. Tech. J. The full citation details ... 1976 DBLP  DOI  BibTeX  RDF
18Staffan A. Fredricsson Optimum Receiver Filters in Digital Quadrature Phase-Shift-Keyed Systems with a Nonlinear Repeater. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 1975 DBLP  DOI  BibTeX  RDF
18Virgil I. Johannes, G. J. Stiles Determining Repeater Margin with a Stub and Attenuator. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 1975 DBLP  DOI  BibTeX  RDF
18Robert G. Lyons Combined Effects of Up- and Down-Link Fading Through a Power-Limiting Satellite Repeater. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
18Alan Stewart A New Type of VF Repeater for Two-Wire Transmission Loops. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
18F. D. Waldhauer Quantized Feedback in an Experimental 280-Mb/s Digital Repeater for Coaxial Transmission. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
18R. G. Buus, J. J. Kassig, Paul A. Yeisley SF system: Repeater and equalizer design. Search on Bibsonomy Bell Syst. Tech. J. The full citation details ... 1970 DBLP  DOI  BibTeX  RDF
18H. T. Friis Microwave repeater research. Search on Bibsonomy Bell Syst. Tech. J. The full citation details ... 1948 DBLP  DOI  BibTeX  RDF
12Marshnil Vipin Dave, Rajkumar Satkuri, Mahavir Jain, Maryam Shojaei Baghini, Dinesh Kumar Sharma Low-power current-mode transceiver for on-chip bidirectional buses. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF current-mode signaling, driver pre-emphasis, interconnects
12Hai Hu, Furong Wang, Fan Zhang, Weijia Jia 0001, Ge Tang Automatic Mobile Vehicle for Adaptive Real-Time Communication Relay. Search on Bibsonomy ICDCS Workshops The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Miriam J. Akl, Magdy A. Bayoumi Transition Skew Coding for Global On-Chip Interconnect. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Tzvetan S. Metodi, Darshan D. Thaker, Andrew W. Cross, Isaac L. Chuang, Frederic T. Chong High-level interconnect model for the quantum logic array architecture. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF QLA, Quantum computer architecture design, teleportation, fault tolerance, large scale, quantum
12Edmund Lee 0002, Guy Lemieux, Shahriar Mirabbasi Interconnect Driver Design for Long Wires in Field-Programmable Gate Arrays. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF routing design, FPGA, computer-aided design, interconnect design, FPGA interconnect
12Wenyi Zhang 0006, Urbashi Mitra Channel-adaptive frequency-domain relay processing in multicarrier multihop transmission. Search on Bibsonomy ICASSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12J. M. Mouatcho Moualeu, Hongjun Xu 0001, Fambirai Takawira Double Repeat-Punctured Turbo Coded Cooperative Diversity Scheme. Search on Bibsonomy WCNC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Ronald Raulefs, Armin Dammann Repeating or Relaying in Wireless Systems. Search on Bibsonomy WCNC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Yulei Zhang 0002, Ling Zhang, Akira Tsuchiya, Masanori Hashimoto, Chung-Kuan Cheng On-chip high performance signaling using passive compensation. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Yehea I. Ismail Interconnect design and limitations in nanoscale technologies. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Jingye Xu, Abinash Roy, Masud H. Chowdhury Optimization technique for flip-flop inserted global interconnect. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12David Dickin, Lesley Shannon Extending the SIMPPL SoC architectural framework to support application-specific architectures on multi-FPGA platforms. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Charbel J. Akl, Magdy A. Bayoumi Wiring-Area Efficient Simultaneous Bidirectional Point-to-Point Link for Inter-Block On-Chip Signaling. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Hiroki Matsutani, Michihiro Koibuchi, D. Frank Hsu, Hideharu Amano Three-Dimensional Layout of On-Chip Tree-Based Networks. Search on Bibsonomy ISPAN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Fat H-Tree, Network-on-Chip, Fat Tree, 3-D IC
12Ajay Joshi, Gerald G. Lopez, Jeffrey A. Davis Design and Optimization of On-Chip Interconnects Using Wave-Pipelined Multiplexed Routing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Ja Chun Ku, Yehea I. Ismail On the Scaling of Temperature-Dependent Effects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Subhashis Majumder, Susmita Sur-Kolay, Bhargab B. Bhattacharya, Swarup Kumar Das Hierarchical partitioning of VLSI floorplans by staircases. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF balanced bipartitioning, NP-completeness, Floorplanning, network flow, global routing
12Michele Luglio, Fotini-Niovi Pavlidou, Cesare Roseti, Georgios Theodoridis CAC-TCP Cross-Layer Interaction in a HAPS-Satellite Integrated Scenario. Search on Bibsonomy VTC Spring The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Jakub Borkowski, Panu Lähdekorpi, Tero Isotalo, Jukka Lempiäinen Optimization Aspects for Cellular Service Performance and Mobile Positioning in WCDMA Radio Networks. Search on Bibsonomy VTC Spring The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Yasuo Tsuchimoto, Mohammad Abdul Awal, Poompat Saengudomlert, Teerapat Sanguankotchakorn, Kanchana Kanchanasut Bandwidth Adjustable DVTS on the Heterogeneous Internet Environments for Distance Learning. Search on Bibsonomy SAINT Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Byungsub Kim, Vladimir Stojanovic Equalized interconnects for on-chip networks: modeling and optimization framework. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Abinash Roy, Masud H. Chowdhury Global Interconnect Optimization in the Presence of On-chip Inductance. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Jonathan Rosenfeld, Eby G. Friedman Quasi-Resonant Interconnects: A Low Power Design Methodology. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Chittarsu Raghunandan, K. S. Sainarayanan, M. B. Srinivas Bus-encoding technique to reduce delay, power and simultaneous switching noise (SSN) in RLC interconnects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF bit transitions, bus-encoding scheme, high impedance state, simultaneous switching noise (SSN), spatial and temporal redundancy, low power, delay, encoder, decoder, crosstalk noise, inductive coupling
12Kenichi Shinkai, Masanori Hashimoto, Takao Onoye Future Prediction of Self-Heating in Short Intra-Block Wires. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Michael N. Skoufis, Haibo Wang 0005, Themistoklis Haniotakis, Spyros Tragoudas Glitch Control with Dynamic Receiver Threshold Adjustment. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Roshan Weerasekera, Dinesh Pamunuwa, Li-Rong Zheng 0001, Hannu Tenhunen Delay-Balanced Smart Repeaters for On-Chip Global Signaling. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Tanya Deller, Rochelle Black, Francess Uzowulu, Vernell Mitchell, William Seffens Internet APRS Data Utilization for Biosurveillance Applications. Search on Bibsonomy BioSurveillance The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Guoqing Chen, Eby G. Friedman Low-power repeaters driving RC and RLC interconnects with delay and bandwidth constraints. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Michael Moreinis, Arkadiy Morgenshtein, Israel A. Wagner, Avinoam Kolodny Logic Gates as Repeaters (LGR) for Area-Efficient Timing Optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Annie (Yujuan) Zeng, Kenneth Rose, Ronald J. Gutmann Memory performance prediction for high-performance microprocessors at deep submicrometer technologies. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Alkan Cengiz, Tom W. Chen Routing-Tree Construction with Concurrent Performance, Power and Congestion Optimization. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Yeonsu Kang, Do-Seob Ahn, Ho-Jin Lee OFDM channel estimation with timing offset for satellite plus terrestrial multipath channels. Search on Bibsonomy VTC Spring The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Keith A. Bowman, James W. Tschanz, Muhammad M. Khellah, Maged Ghoneima, Yehea I. Ismail, Vivek De Time-borrowing multi-cycle on-chip interconnects for delay variation tolerance. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF intra-die variations, multi-cycle interconnect, parameter fluctuations, time borrowing, interconnect, parameter variations, within-die variations, variation tolerant
12Kwang-Il Oh, Seunghyun Cho, Lee-Sup Kim A low power SoC bus with low-leakage and low-swing technique. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Hyung Joon Jeon, Inn-yeal Oh Algorithm and Structure to Cancel Signal Distortion in ATSC Digital TV System. Search on Bibsonomy ICCSA (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Alkan Cengiz, Tom W. Chen A Progressive Two-Stage Global Routing for Macro-Cell Based Designs. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Daniel H. Ho, Shahrokh Valaee Information Raining and Optimal Link-Layer Design for Mobile Hotspots. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF mobile hotspots, graph theory, linear programming, wireless communication, medium access control, network protocols, constrained optimization, Emerging technologies, mobile environments, combinatorial algorithms, mobile communication systems, graphs and networks, network architecture and design
12Srinivasa R. Sridhara, Naresh R. Shanbhag Coding for system-on-chip networks: a unified framework. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Krishnan Sundaresan, Nihar R. Mahapatra Accurate Energy Dissipation and Thermal Modeling for Nanometer-Scale Buses. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Seongmoo Heo, Krste Asanovic Replacing global wires with an on-chip network: a power analysis. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF on-chip network power model, tile size, wire power model, pipelining, router, tiled architecture
12Guoqing Chen, Eby G. Friedman Low power repeaters driving RLC interconnects with delay and bandwidth constraints. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Ray Robert Rydberg III, Jabulani Nyathi, José G. Delgado-Frias A distributed FIFO scheme for on chip communication. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Ye Yan, Kwangsoo Kim, DongSun Park A Flexible Mobile Communication Infrastructure Mechanism. Search on Bibsonomy AICT/SAPIR/ELETE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Zhai Mingyue, Liu Chunying, Bi Haiying Study of Channel Characteristics of Power Line Communications Networks. Search on Bibsonomy PDCAT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Atul Maheshwari, Wayne P. Burleson Differential current-sensing for on-chip interconnects. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Mathilde Gay, Laurent Bramerie, G. Girault, Vincent Roncin, Jean-Claude Simon Experimental Study of Reshaping Retiming Gates for 3R Regeneration. Search on Bibsonomy OpNeTec The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12André K. Nieuwland, Atul Katoch, Maurice Meijer Reducing Cross-Talk Induced Power Consumption and Delay. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12V. Seth, Min Zhao 0001, Jiang Hu Exploiting level sensitive latches in wire pipelining. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Puneet Gupta 0001, Andrew B. Kahng Wire Swizzling to Reduce Delay Uncertainty Due to Capacitive Coupling. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Rizwan Bashirullah, Wentai Liu, Ralph K. Cavin III Current-mode signaling in deep submicrometer global interconnects. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Lauren Hui Chen, Malgorzata Marek-Sadowska, Forrest Brewer Buffer delay change in the presence of power and ground noise. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Rajeev Balasubramonian, David H. Albonesi, Alper Buyuktosunoglu, Sandhya Dwarkadas A Dynamically Tunable Memory Hierarchy. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF High performance microprocessors, energy and performance of on-chip caches, memory hierarchy, reconfigurable architectures
12Jiang Hu, Charles J. Alpert, Stephen T. Quay, Gopal Gandham Buffer insertion with adaptive blockage avoidance. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12E. Malley, Ariel Salinas, Kareem Ismail, Lawrence T. Pileggi Power Comparison of Throughput Optimized IC Busses. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Ruibing Lu, Cheng-Kok Koh Interconnect Planning with Local Area Constrained Retiming. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Eli Chiprout Early electrical wire projections and implications. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Nataraj Akkiraju, Mosur Mohan Spec Based Flip-Flop And Buffer Insertion. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Rajesh Kumar 0006 Interconnect and noise immunity design for the Pentium 4 processor. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Gregorio Cappuccino, Giuseppe Cocorullo Operating Region Modelling and Timing Analysis of CMOS Gates Driving Transmission Lines. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Tao Lin, Lawrence T. Pileggi Throughput-driven IC communication fabric synthesis. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Dinesh Pamunuwa, Li-Rong Zheng 0001, Hannu Tenhunen Optimising bandwidth over deep sub-micron interconnect. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Lauren Hui Chen, Malgorzata Marek-Sadowska, Forrest Brewer Coping with buffer delay change due to power and ground noise. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF common mode noise, differential mode noise, incremental delay change, power and ground noise
12Henrik Eriksson, Per Larsson-Edefors, Atila Alvandpour A 2.8 ns 30 uW/MHz area-efficient 32-b Manchester carry-bypass adder. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Imed Ben Dhaou, Hannu Tenhunen, Vijay Sundararajan, Keshab K. Parhi Energy efficient signaling in DSM CMOS technology. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Ajit Gupte, Mahesh Mehendale, Ramesh Ramamritham, Deepa Nair Performance Considerations in Embedded DSP based System-On-a-Chip Designs. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Kei Hirose, Hiroto Yasuura A Bus Delay Reduction Technique Considering Crosstalk. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Giovanni Chiola, Giuseppe Ciaccio Fast Barrier Synchronization on Shared Fast Ethernet. Search on Bibsonomy CANPC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Hans J. Briegel, J. Ignacio Cirac, Wolfgang Dür, Steven J. van Enk, H. Jeff Kimble, Hideo Mabuchi, Peter Zoller 0001 Physical Implementations for Quantum Communication in Quantum Networks. Search on Bibsonomy QCQC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Janusz Rajski, Jerzy Tyszer Modular logic built-in self-test for IP cores. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12David H. Albonesi Dynamic IPC/Clock Rate Optimization. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12D. Scott Alexander, Marianne Shaw, Scott Nettles, Jonathan M. Smith Active Bridging. Search on Bibsonomy SIGCOMM The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
12Daniel Audet, Yvon Savaria, N. Arel Pipelining communications in large VLSI/ULSI systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
12Biswanath Mukherjee Performance of a Dual-Bus Unidirectional Broadcast Network Operating Under a Probabilistic Scheduling Strategy. Search on Bibsonomy SIGMETRICS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #497 of 497 (100 per page; Change: )
Pages: [<<][1][2][3][4][5]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license