The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase self-test (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1983 (15) 1984-1986 (25) 1987-1988 (27) 1989-1990 (45) 1991 (27) 1992 (23) 1993 (21) 1994 (30) 1995 (64) 1996 (54) 1997 (53) 1998 (60) 1999 (50) 2000 (87) 2001 (71) 2002 (63) 2003 (84) 2004 (88) 2005 (82) 2006 (78) 2007 (77) 2008 (69) 2009 (43) 2010 (43) 2011 (21) 2012 (25) 2013 (15) 2014 (23) 2015 (22) 2016 (21) 2017-2018 (36) 2019 (22) 2020 (15) 2021 (20) 2022 (20) 2023 (19) 2024 (1)
Publication types (Num. hits)
article(548) book(2) incollection(1) inproceedings(972) phdthesis(16)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2021 occurrences of 633 keywords

Results
Found 1539 publication records. Showing 1539 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
14Charles H.-P. Wen, Li-C. Wang, Kwang-Ting Cheng, Wei-Ting Liu, Ji-Jan Chen Simulation-based target test generation techniques for improving the robustness of a software-based-self-test methodology. Search on Bibsonomy ITC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Joshua Ferry, Jozef Scesnak, Shoeib Shaikh A strategy for board level in-system programmable built-in assisted test and built-in self test. Search on Bibsonomy ITC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Gert Jervan Hybrid Built-In Self-Test and Test Generation Techniques for Digital Systems. Search on Bibsonomy 2005   RDF
14Jay J. Nejedlo IBISTTM (Interconnect Built-in Self-Test) Architecture and Methodology for PCI Express: Intel?s Next-Generation Test and Validation Methodology for Performance IO. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14G. O. D. Acevedo, Jaime Ramírez-Angulo Built-in self-test scheme for on-chip diagnosis, compliant with the IEEE 1149.4 mixed-signal test bus standard. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14A. Chrisanthopoulos, Th. Haniotakis, Y. Tsiatouhas, Angela Arapoyanni New test pattern generation units for NPSF oriented memory built-in self test. Search on Bibsonomy ICECS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Gladys Omayra Ducoudray Acevedo, Jaime Ramírez-Angulo Innovative Built-In Self-Test Schemes for On-Chip Diagnosis, Compliant with the IEEE 1149.4 Mixed-Signal Test Bus Standard. Search on Bibsonomy LATW The full citation details ... 2001 DBLP  BibTeX  RDF
14Seung-Moon Yoo, Seong-Ook Jung, Sung-Mo Kang 2-level LFSR scheme with asynchronous test pattern transfer for low cost and high efficiency build-in-self-test. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Vlado Vorisek Test Pattern Generators for Distributed and Embedded Built-in Self-Test at Register Transfer Level. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Peter Jakobsen, Jeffrey H. Dreibelbis, Gary Pomichter, Darren Anand, John Barth 0001, Michael R. Nelms, Jeffrey Leach, George M. Belansek Embedded DRAM built in self test and methodology for test insertion. Search on Bibsonomy ITC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14A. Chrisanthopoulos, George Kamoulakos, Y. Tsiatouhas, Angela Arapoyanni A test pattern generation unit for memory NPSF built-in self test. Search on Bibsonomy ICECS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Ulf Pillkahn Structural test in a board self test environment. Search on Bibsonomy ITC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Nilanjan Mukherjee 0001, Tapan J. Chakraborty, Ramesh Karri Built in self test: a complete test solution for telecommunication systems. Search on Bibsonomy IEEE Commun. Mag. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Dhiraj K. Pradhan, Mitrajit Chatterjee GLFSR-a new test pattern generator for built-in-self-test. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Narumi Sakashita, Fumihiro Okuda, Ken'ichi Shimomura, Hiroki Shimano, Mitsuhiro Hamada, Tetsuo Tada, Shinji Komori, Kazuo Kyuma, Akihiko Yasuoka, Haruhiko Abe A Built-In Self-Test Circuit with Timing Margin Test Function in a 1Gbit Synchronous DRAM. Search on Bibsonomy ITC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
14Albrecht P. Stroele A Self-Test Approach Using Accumulators as Test Pattern Generators. Search on Bibsonomy ISCAS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
14Dhiraj K. Pradhan, Mitrajit Chatterjee GLFSR - A New Test Pattern Generator for Built-In Self-Test. Search on Bibsonomy ITC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
14Arno Kunzmann FPL Based Self-Test with Deterministic Test Patterns. Search on Bibsonomy FPL The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
14Chien-In Henry Chen, Joel T. Yuen Concurrent Test Scheduling in Built-In Self-Test Environment. Search on Bibsonomy ICCD The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
14Albrecht P. Stroele Self-Test Scheduling with Bounded Test Execution. Search on Bibsonomy ITC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
14Prawat Nagvajara, Mark G. Karpovsky, Lev B. Levitin Efficient test generation for built-in self-test boundary-scan template. Search on Bibsonomy VTS The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
14Yasuyuki Nozuyama Realization of an efficient design verification test used on a microinstruction controlled self test. Search on Bibsonomy ITC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
14Sheldon B. Akers, Winston Jansz Test Set Embedding in a Built-In Self-Test Environment. Search on Bibsonomy ITC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
14A. C. Erdal, Pierre A. Uszynski A global chip test implementation including built-in self-test. Search on Bibsonomy ICCD The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
14Fardad Siavoshi WTPGA : A Novel Weighted Test Pattern Generation Approach for VLSI Built-In Self-Test. Search on Bibsonomy ITC The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
14Andrzej Hlawiczka, Dariusz Badura Universal Test Controller Chip for Board Self Test. Search on Bibsonomy Fehlertolerierende Rechensysteme The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
14Ángel Quirós-Olozábal, Ma de los Ángeles Cifredo Chacón, Diego Gomez Vela FPGA-Based Boundary-Scan Bist. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14R. P. van Riessen, Hans G. Kerkhoff, A. Kloppenburg Designing and Implementing an Architecture with Boundary Scan. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
14Daniel Mange, André Stauffer, Gianluca Tempesti Embryonics: A Microscopic View of the Molecular Architecture. Search on Bibsonomy ICES The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
13A. Tchegho, Heinz Mattes, Sebastian Sattler Optimal High-Resolution Spectral Analyzer. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Daniela De Venuto, Leonardo Reyneri PWM-Based Test Stimuli Generation for BIST of High Resolution ADCs. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Daniela De Venuto, Leonardo Reyneri Fast PWM-Based Test for High Resolution SigmaDelta ADCs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Test of high resolution ADC, Sigma-Delta ADC, PWM test signal
13Sung-Jui (Song-Ra) Pan, Kwang-Ting Cheng A framework for system reliability analysis considering both system error tolerance and component test quality. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Daniela De Venuto, Leonardo Reyneri Fully Digital Optimized Testing and Calibration Technique for Sigma Delta ADC's. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Mihalis Psarakis, Dimitris Gizopoulos, Antonis M. Paschalis Built-in sequential fault self-testing of array multipliers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Chih-Tsun Huang, Jen-Chieh Yeh, Yuan-Yuan Shih, Rei-Fu Huang, Cheng-Wen Wu On Test and Diagnostics of Flash Memories. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Christoph Hoffmann A New Design Flow and Testability Measure for the Generation of a Structural Test and BIST for Analogue and Mixed-Signal Circuits. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Debesh Kumar Das, Susanta Chakraborty, Bhargab B. Bhattacharya New BIST Techniques for Universal and Robust Testing of CMOS Stuck-Open Faults. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
13Rajiv Gupta 0002, Rajagopalan Srinivasan, Melvin A. Breuer Reorganizing Circuits to Aid Testability. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
13Benoit Nadeau-Dostie, Allan Silburt, Vinod K. Agarwal Serial Interfacing for Embedded-Memory Testing. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
13Uthman Alsaiari, Resve A. Saleh Partitioning for Selective Flip-Flop Redundancy in Sequential Circuits. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Partitioning, Redundancy, Yield, Flip-Flop
13Peter Leijdekkers, Valérie Gay A Self-Test to Detect a Heart Attack Using a Mobile Phone and Wearable Sensors. Search on Bibsonomy CBMS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF heart attack selft-test, mobile health monitoring, mobile health application, wearable sensors
13H. J. Kadim Analytical Modelling of Power Attenuation under Parameter Fluctuations with Applications to Self-Test and Repair. Search on Bibsonomy AHS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Shibaji Banerjee, Dipanwita Roy Chowdhury Built-In Self-Test for Flash Memory Embedded in SoC. Search on Bibsonomy DELTA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Abdil Rashid Mohamed, Zebo Peng, Petru Eles A Wiring-Aware Approach to Minimizing Built-In Self-Test Overhead. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF BIST insertion, wiring area, simulated annealing, test synthesis
13Mimi Yiu, Chris Winstead, Vincent C. Gaudet, Christian Schlegel Digital built-in self-test of CMOS analog iterative decoders. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13João Goes, Nuno Paulino 0002, Guiomar Evans On-chip built-in self-test of video-rate ADCs using Gaussian noise. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Ismet Bayraktaroglu, Olivier Caty, Yickkei Wong Highly Configurable Programmable Built-In Self Test Architecture for High-Speed Memories. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Sunil Rafeeque, Vinita Vasudevan A Built-in-Self-Test Scheme for Segmented and Binary Weighted DACs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF digital to analog converters, nonlinearity test, analog testing, mixed-signal BIST
13Shyue-Kung Lu, Shih-Chang Huang Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs. Search on Bibsonomy MTDT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Nilmoni Deb, R. D. (Shawn) Blanton Multi-Modal Built-In Self-Test for Symmetric Microsystems. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Xingguo Xiong, Yu-Liang Wu, Wen-Ben Jone A Dual-Mode Built-In Self-Test Technique for Capacitive MEMS Devices. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Abdil Rashid Mohamed, Zebo Peng, Petru Eles A Wiring-Aware Approach to Minimizing Built-in Self-Test Overhead. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Sunil Rafeeque, Vinita Vasudevan A Built-in-Self-Test Scheme for Digital to Analog Converters. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Sanghoon Choi, William R. Eisenstadt, Robert M. Fox Design of programmable embedded IF source for design self-test. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Louis Y. Ungar, Tony Ambler Economics of Built-in Self-Test. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13A. Hodge, Robert Newcomb 0001, A. Hefner Use of the oscillation based built-in self-test method for smart sensor devices. Search on Bibsonomy ISCAS (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Abhijit Chatterjee, Bruce C. Kim, Naveena Nagi DC Built-In Self-Test for Linear Analog Circuits. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
13Vishwani D. Agrawal, Charles R. Kime, Kewal K. Saluja A Tutorial on Built-In Self-Test, Part 2: Applications. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
12Hayoung Lee, Jihye Kim, Jongho Park, Sungho Kang 0001 STRAIT: Self-Test and Self-Recovery for AI Accelerator. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Yu-Chih Tsai, Wen-Chien Ting, Chia-Chun Wang, Chia-Cheng Chang, Ren-Shuo Liu Built-in Self-Test and Built-in Self-Repair Strategies Without Golden Signature for Computing in Memory. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Zhiyuan Sun, Miao Wang Self-Test and Self-Calibration of Digital Closed-Loop Accelerometers. Search on Bibsonomy Sensors The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12 A saboteur and mutant based built-in self-test and counting threshold-based built-in self repairing mechanism for memories. Search on Bibsonomy J. Ambient Intell. Humaniz. Comput. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Yanqiang Yang, Jian Liang, Longjie Tian, Tongyao Yue, Hao Zhang 0039 Dead Band Self-Test Method of Three-Self FOG INS. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
12Debatrayee Roychowdhury, Soroush Moallemi, Sule Ozev, Jennifer Kitchen Self-Interference Signal Path Characterization in Full-Duplex Transceivers Using Built-in Self-Test. Search on Bibsonomy RWS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
12Shahriar Shahramian, Michael J. Holyoak, Amit Singh, Yves Baeyens A Fully Integrated 384-Element, 16-Tile, $W$ -Band Phased Array With Self-Alignment and Self-Test. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Tao Chen 0006, Degang Chen 0001 Built-in self-test and self-calibration for analog and mixed signal circuits. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
12Scott Davidson 0001 Self-Test and Self-Aware. Search on Bibsonomy IEEE Des. Test The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Michael A. Kochte, Hans-Joachim Wunderlich Self-Test and Diagnosis for Self-Aware Systems. Search on Bibsonomy IEEE Des. Test The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Shahriar Shahramian, Mike Holyoak, Amit Singh, Bahar Jalali Farahani, Yves Baeyens A fully integrated scalable W-band phased-array module with integrated antennas, self-alignment and self-test. Search on Bibsonomy ISSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Mario Schölzel, Tobias Koal, Sebastian Müller 0005, Stefan Scharoba, Stephanie Roder, Heinrich Theodor Vierhaus A comprehensive software-based self-test and self-repair method for statically scheduled superscalar processors. Search on Bibsonomy LATS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Myat Thu Linn Aung, Tony T. Kim Self-contained built-in-self-test/repair transceivers for interconnects in 3DICs. Search on Bibsonomy APCCAS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
12Afsaneh Nassery, Srinath Byregowda, Sule Ozev, Marian Verhelst, Mustapha Slamani Built-In Self-Test of Transmitter I/Q Mismatch and Nonlinearity Using Self-Mixing Envelope Detector. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Palanichamy Manikandan, Mohammad Areef, Bjørn B. Larsen, Vladimir Hahanov Selective Algorithms for Built-In Self-Test and Self-Diagnosis in Embedded SRAMS. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Jae Woong Jeong, Jennifer Kitchen, Sule Ozev A self-compensating built-in self-test solution for RF phased array mismatch. Search on Bibsonomy ITC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
12Sleiman Bou-Sleiman, Mohammed Ismail 0001 Built-in-Self-Test and Digital Self-Calibration for RF SoCs Search on Bibsonomy 2012   DOI  RDF
12Mouna Karmani, Chiraz Khedhiri, Ka Lok Man, Tomas Krilavicius, Belgacem Hamdi, Amir-Mohammad Rahmani A self-test and self-repair approach for analog integrated circuits. Search on Bibsonomy BCFIC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
12Abhilash Goyal, Madhavan Swaminathan, Abhijit Chatterjee, Duane C. Howard, John D. Cressler A self-testable SiGe LNA and Built-in-Self-Test methodology for multiple performance specifications of RF amplifiers. Search on Bibsonomy ISQED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
12Afsaneh Nassery, Srinath Byregowda, Sule Ozev, Marian Verhelst, Mustapha Slamani Built-in-Self Test of transmitter I/Q mismatch using self-mixing envelope detector. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
12André Stauffer, Joël Rossier Bio-inspired bit slice processors with self-test and self-repair mechanisms. Search on Bibsonomy AHS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
12Shu-Yen Lin, Chan-Cheng Hsu, An-Yeu Wu A Scalable Built-in Self-test/Self-diagnosis Architecture for 2D-Mesh based Chip Multiprocessor Systems. Search on Bibsonomy ISCAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Voicu Groza, Rami S. Abielmona, Mansour H. Assaf, Mohammed Elbadri, Mohammad El-Kadri, Arkan Khalaf A Self-Reconfigurable Platform for Built-In Self-Test Applications. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Daryl W. Bradley, Andrew M. Tyrrell Immunotronics - novel finite-state-machine architectures with built-in self-test using self-nonself differentiation. Search on Bibsonomy IEEE Trans. Evol. Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Janet A. Taylor Self Test: a flexible self assessment package for distance and other learners. Search on Bibsonomy Comput. Educ. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12William S. Blackley, Mervyn A. Jack, James R. Jordan A Digital Polarity Correlator with Built-in Self Test and Self Repair. Search on Bibsonomy IEEE Des. Test The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
12William S. Blackley, Mervyn A. Jack, James R. Jordan A Digital Polarity Correlator Featuring Built-In Self Test and Self Repair Mechanisms. Search on Bibsonomy ITC The full citation details ... 1983 DBLP  BibTeX  RDF
12Dong Xiang, Yang Zhao 0001, Krishnendu Chakrabarty, Hideo Fujiwara A Reconfigurable Scan Architecture With Weighted Scan-Enable Signals for Deterministic BIST. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Sunghoon Chun, Taejin Kim, Sungho Kang A new low energy BIST using a statistical code. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Masood ul-Hasan, Yichuang Sun, Xi Zhu 0001, James Moritz Oscillation-based DFT for second-order OTA-C filters. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Adam B. Kinsman, Nicola Nicolici Embedded Deterministic Test Exploiting Care Bit Clustering and Seed Borrowing. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF design-for-testability, test data compression
12Seongmoon Wang A BIST TPG for Low Power Dissipation and High Fault Coverage. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Swaroop Ghosh, Swarup Bhunia, Arijit Raychowdhury, Kaushik Roy 0001 A Novel Delay Fault Testing Methodology Using Low-Overhead Built-In Delay Sensor. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Christian Galke, U. Gätzschmann, Heinrich Theodor Vierhaus Scan-Based SoC Test Using Space / Time Pattern Compaction Schemes. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Dhiraj K. Pradhan, Chunsheng Liu EBIST: a novel test generator with built-in fault detection capability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Emmanouil Kalligeros, Xrysovalantis Kavousianos, Dimitris Nikolos Multiphase BIST: a new reseeding technique for high test-data compression. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Jerzy J. Dabrowski, Javier Gonzalez Bayon Mixed Loopback BiST for RF Digital Transceivers. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Salvador Manich, L. García, Luz Balado, Emili Lupon, Josep Rius 0001, Rosa Rodríguez-Montañés, Joan Figueras BIST Technique by Equally Spaced Test Vector Sequences. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Tiago R. Balen, Antonio Andrade Jr., Florence Azaïs, Michel Renovell, Marcelo Lubaszewski Testing the Configurable Analog Blocks of Field Programmable Analog Arrays. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Hailong Cui, Sharad C. Seth, Shashank K. Mehta Modeling Fault Coverage of Random Test Patterns. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF fault-coverage prediction, cost-benefit analysis of fault simulation, variance of fault coverage, BIST, probabilistic model
12Hamidreza Hashempour, Fred J. Meyer, Fabrizio Lombardi, Farzin Karimi Hybrid Multisite Testing at Manufacturing. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 1539 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license