The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for width with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1949-1976 (15) 1977-1982 (19) 1983-1985 (26) 1986-1987 (26) 1988 (24) 1989 (24) 1990 (35) 1991 (29) 1992 (19) 1993 (37) 1994 (45) 1995 (38) 1996 (54) 1997 (71) 1998 (69) 1999 (128) 2000 (124) 2001 (163) 2002 (211) 2003 (217) 2004 (256) 2005 (271) 2006 (372) 2007 (359) 2008 (320) 2009 (286) 2010 (141) 2011 (118) 2012 (140) 2013 (159) 2014 (165) 2015 (183) 2016 (175) 2017 (175) 2018 (201) 2019 (221) 2020 (234) 2021 (211) 2022 (259) 2023 (279) 2024 (51)
Publication types (Num. hits)
article(2553) data(1) incollection(9) inproceedings(3369) phdthesis(18)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2361 occurrences of 1557 keywords

Results
Found 5950 publication records. Showing 5950 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
20Chien-Ping Chang, Ting-Yi Sung, Lih-Hsing Hsu Edge Congestion and Topological Properties of Crossed Cubes. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF edge congestion, hypercubes, embedding, shortest path routing, wide diameter, fault diameter, Crossed cubes, bisection width
20B. John Oommen, Murali Thiyagarajah Query Result Size Estimation Using the Trapezoidal Attribute Cardinality Map. Search on Bibsonomy IDEAS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF query result size estimation, Trapezoidal Attribute Cardinality Map, histogram-like approximation strategy, Rectangular Attribute Cardinality Map, density function approximation, T-ACM, equi-width histograms, equi-depth histograms, R-ACM, probability, query optimization, database theory, experimental results
20Kuen-Jong Lee, Tsung-Chu Huang, Jih-Jeen Chen Peak-power reduction for multiple-scan circuits during test application. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF peak-power reduction, multiple scan chain based circuits, peak periodicity, peak width, power waveforms, scan-based circuits, delay buffers, interleaving scan technique, data output, logic testing, logic testing, delays, integrated circuit testing, application specific integrated circuits, SOC, boundary scan testing
20José Vicente Calvano, Vladimir Castro Alves, Marcelo Lubaszewski Testing a PWM circuit using functional fault models and compact test vectors for operational amplifiers. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF PWM circuit, compact test vectors, analog VLSI technology, functional fault macromodels, compact test vector construction, open loop gain, CMMR, analog circuit simulation complexity, VLSI, integrated circuit testing, design for testability, automatic test pattern generation, fault modeling, fault simulation, fault simulation, operational amplifiers, operational amplifiers, test pattern, functional fault models, analogue circuits, fault dictionary, pulse width modulation, slew-rate
20Lu Chen, Bingxue Shi CMOS PWM VLSI Implementation of Neural Network. Search on Bibsonomy IJCNN (3) The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Neural Network, VLSI, Pulse Width Modulation
20Venkatavasu Bokka, Himabindu Gurla, Stephan Olariu, James L. Schwing Podality-Based Time-Optimal Computations on Enhanced Meshes. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Podality, enclosing rectangle, Euclidian distance, enhanced meshes, pattern recognition, image processing, lower bounds, convex hull, diameter, time-optimality, Minkowski sum, width
20Fausto Distante, Mariagiovanna Sami, Renato Stefanelli Array partitioning to achieve defect tolerance. Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF array partitioning, processing arrays, run time faults, reconfiguration techniques, architectural regularity, interconnection channel width, reconfiguration efficiency, partitioning approach, critical fault patterns, fault tolerance, redundancy, reconfigurable architectures, survival, defect tolerance, path length
20John H. Shamilian, Henry S. Baird, Thomas L. Wood A retargetable table reader. Search on Bibsonomy ICDAR The full citation details ... 1997 DBLP  DOI  BibTeX  RDF retargetable table reader, machine-printed documents, predefined tabular-data layout, textual data, record lines, fixed-width fields, field-specific contextual knowledge, small print, tight line-spacing, photocopies, line-art, background patterns, pitch-estimation, high-performance OCR, segmentation, graphical user interface, neural nets, document image processing, skew-correction
20Albert M. Vossepoel, Klamer Schutte, Carl F. P. Delanghe Memory efficient skeletonization of utility maps. Search on Bibsonomy ICDAR The full citation details ... 1997 DBLP  DOI  BibTeX  RDF utility maps, overlapping tiles, maximum expected width, maximum size, map components, skeleton part connection, overlap zones, efficient memory utilization, A0 size map, skeletonization, cartography, parallel implementation, memory requirements, Euclidean distance transform
20Santonu Sarkar, Anupam Basu, Arun K. Majumdar Representation and Synthesis of Interface of a Circuit for its Reuse. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF VaWiRAM, variable width random access memory, flexible memory systems, configuration pins, pass gates, reconfigurability, reconfigurable architectures, memory architecture, programmable logic, random-access storage, integrated circuit interconnections, programmable logic devices, programmable interconnect
20Kei-Yong Khoo, Alan N. Willson Jr. Single-transistor transparent-latch clocking. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF transparent-latch clocking, single-phase clocking scheme, CMOS VLSI designs, single NMOS transistor, allowable width, clock driver, dynamic buffer, architecture-driven voltage scaling, pipelining latches, latch-intensive architectures, filter structures, transposed-form FIR filter, VLSI, flip-flops, clocks, integrated circuit design, digital filters, FIR filters, power dissipation, CMOS digital integrated circuits
20Weimin Huang, Gang Rong, Zhaoqi Bian Strokes recovering from static handwriting. Search on Bibsonomy ICDAR The full citation details ... 1995 DBLP  DOI  BibTeX  RDF static handwriting, strokes recovering, handwriting images, primary skeletons, unreliable skeletons, reliable skeletons, width information, optical character recognition, handwriting recognition
20Ramaswamy Sivaramakrishnan, Ihsin T. Phillips, Jaekyu Ha, Suresh Subramanium, Robert M. Haralick Zone classification in a document using the method of feature vector generation. Search on Bibsonomy ICDAR The full citation details ... 1995 DBLP  DOI  BibTeX  RDF zone classification, feature vector generation, run length mean, spatial mean, black pixels, zone width ratio, performance, feature extraction, document, image classification, document image processing, decision theory, feature vector, decision tree classifier
20Roberto Brunelli, Tomaso A. Poggio Face Recognition: Features Versus Templates. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF feature-based recognition, template-based recognition, nose length, computer recognition, nose width, mouth position, chin shape, almost-gray-level template matching, face recognition, geometrical features
20Ben-Kwei Jang, Roland T. Chin One-Pass Parallel Thinning: Analysis, Properties, and Quantitative Evaluation. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF one-pass parallel thinning, unit-width convergence, pipeline processing model, skeletal connectivity, parallel algorithms, image recognition, shape analysis, pipeline processing, convergence of numerical methods, noise immunity, medial axis approximation
20Sudeep Sarkar, Kim L. Boyer On Optimal Infinite Impulse Response Edge Detection Filters. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF filter width, optimal infinite impulse response edge detection filters, Canny's high signal to noise ratio, localization criteria, spurious response, nonlinear constrained optimization, approximating recursive digital filtering, optimisation, computerised pattern recognition, variational method, digital filters, linear filters, variational techniques
20Keith C. Drake, Eugene S. McVey, Rafael M. Inigo Sensor Roll Angle Error for a Mobile Robot Using a Navigation Line. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF roll angle error, navigation line, navigation line-width, obstacle-range, optical axis, computer vision, robots, mobile robot, error analysis, machine vision, image sensors, visual navigation, computerised navigation
20David B. Shu, Ching-Chung Li, J. F. Mancuso, Yung-Nien Sun A Line Extraction Method for Automated SEM Inspection of VLSI Resist. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF line extraction method, automated SEM inspection, VLSI resist, precision digital edge-line-detection method, edge contours, submicrometer width, integrated circuit fabrication, computer vision, VLSI, transforms, integrated circuit testing, computerised picture processing, automatic testing, Hough transform, inspection, circuit analysis computing, scanning electron microscopy, scanning electron microscopy
17Yi-Lin Chuang, Sangmin Kim, Youngsoo Shin, Yao-Wen Chang Pulsed-latch aware placement for timing-integrity optimization. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF pulsed latch, placement, physical design
17Jie Zhang 0007, Shashikanth Bobba, Nishant Patil, Albert Lin, H.-S. Philip Wong, Giovanni De Micheli, Subhasish Mitra Carbon nanotube correlation: promising opportunity for CNFET circuit yield enhancement. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF CNT correlation, carbon nanotube, yield optimization, CNT
17D. T. Lee, Tien-Ching Lin, Hsueh-I Lu Fast Algorithms for the Density Finding Problem. Search on Bibsonomy Algorithmica The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Maximum-density segment problem, Density finding problem, Slope selection problem, GC content, Computational geometry, Bioinformatics, Convex hull, DNA sequence
17Markus Püschel, Peter A. Milder, James C. Hoe Permuting streaming data using RAMs. Search on Bibsonomy J. ACM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF data reordering, linear bit mapping, streaming datapath, stride permutation, Permutation, switch, RAM, connection network, matrix transposition
17Jean-Claude Lepecq, Lionel Bringoux, Jean-Marie Pergandi, Thelma Coyle, Daniel Mestre Afforded actions as a behavioral assessment of physical presence in virtual environments. Search on Bibsonomy Virtual Real. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Virtual reality, Presence, Behavior, Affordance
17Binh-Minh Bui-Xuan, Jan Arne Telle, Martin Vatshelle Feedback Vertex Set on Graphs of Low Cliquewidth. Search on Bibsonomy IWOCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Aurélie Favier, Simon de Givry, Philippe Jégou Exploiting Problem Structure for Solution Counting. Search on Bibsonomy CP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Konstantinos Ntirogiannis, Basilios Gatos, Ioannis Pratikakis A Modified Adaptive Logical Level Binarization Technique for Historical Document Images. Search on Bibsonomy ICDAR The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Andrea Bettinelli, Alberto Ceselli, Giovanni Righini A branch-and-price algorithm for the two-dimensional level strip packing problem. Search on Bibsonomy 4OR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF MSC classification (2000) 90C27
17Hernando Ombao, Sébastien Van Bellegem Evolutionary Coherence of Nonstationary Signals. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Hamid Zarrabi-Zadeh An Almost Space-Optimal Streaming Algorithm for Coresets in Fixed Dimensions. Search on Bibsonomy ESA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Wookwan Lee, Won Ho Choi, Young-Jae Min, Hoon Ki Kim, Soo-Won Kim The low-power and low-area PWM by light intensity for photoflash in 0.35-µm CMOS. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Carlos Ansótegui, Ramón Béjar, Cèsar Fernández, Carles Mateu From High Girth Graphs to Hard Instances. Search on Bibsonomy CP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Jakob Nordström, Johan Håstad Towards an optimal separation of space and length in resolution. Search on Bibsonomy STOC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF length, lower bound, resolution, space, separation, pebbling, proof complexity
17Patrick Baier, Bartlomiej Bosek, Piotr Micek On-line Chain Partitioning of Up-growing Interval Orders. Search on Bibsonomy Order The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Chain partitioning, On-line, Interval order
17Anurag Agarwal, Vijay K. Garg Efficient dependency tracking for relevant events in concurrent systems. Search on Bibsonomy Distributed Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Dependency tracking, Vector clock, Predicate detection
17D. P. Allen, Jim Graham, Damian J. J. Farnell, Elizabeth J. Harrison, Reinhilde Jacobs, Kety Nicopolou-Karayianni, Christina Lindh, Paul F. van der Stelt, Keith Horner, Hugh Devlin Detecting Reduced Bone Mineral Density From Dental Radiographs Using Statistical Shape Models. Search on Bibsonomy IEEE Trans. Inf. Technol. Biomed. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Vincent Limouzy, Fabien de Montgolfier, Michaël Rao NLC-2 Graph Recognition and Isomorphism. Search on Bibsonomy WG The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Bing-Fei Wu, Chuan-Tsai Lin Robust lane detection and tracking for driving assistance systems. Search on Bibsonomy SMC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Hideaki Yokota, Akio Yamamoto, Hiroaki Yamamoto, Toshiro Higuchi Producing Softness Sensation on an Electrostatic Texture Display for Rendering Diverse Tactile Feelings. Search on Bibsonomy WHC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Hsiang-Hui Huang, Ching-Hwa Cheng Using Clock-Vdd to Test and Diagnose the Power-Switch in Power-Gating Circuit. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Kristoffer Arnsfelt Hansen, Peter Bro Miltersen, V. Vinay Circuits on cylinders. Search on Bibsonomy Comput. Complex. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Subject classification. 68Q05, 68Q70
17Tsuyoshi Moriyama, Takeo Kanade, Jing Xiao, Jeffrey F. Cohn Meticulously Detailed Eye Region Model and Its Application to Analysis of Facial Images. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF facial image analysis, generative eye region model, Computer vision, motion tracking, gradient descent, facial expression analysis, texture modeling
17Pankaj K. Agarwal, Sariel Har-Peled, Hai Yu 0005 Robust shape fitting via peeling and grating coresets. Search on Bibsonomy SODA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Juan C. Moure, Domingo Benitez, Dolores Rexachs, Emilio Luque Wide and efficient trace prediction using the local trace predictor. Search on Bibsonomy ICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF high bandwidth fetch mechanism, branch prediction
17Allen Van Gelder Preliminary Report on Input Cover Number as a Metric for Propositional Resolution Proofs. Search on Bibsonomy SAT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Manuel Bodirsky, Víctor Dalmau Datalog and Constraint Satisfaction with Infinite Templates. Search on Bibsonomy STACS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Rui Tang, Yong-Bin Kim PWAM signalling scheme for high speed serial link transceiver design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF DDJ, PWAM, level spacing
17Miguel Eduardo Litvin, Samiha Mourad Self-reset logic for fast arithmetic applications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Christopher P. Fall, Timothy J. Lewis, John Rinzel Background-activity-dependent properties of a network model for working memory that incorporates cellular bistability. Search on Bibsonomy Biol. Cybern. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Sergio Cabello, Bojan Mohar Finding Shortest Non-separating and Non-contractible Cycles for Topologically Embedded Graphs. Search on Bibsonomy ESA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Allen Van Gelder Input Distance and Lower Bounds for Propositional Resolution Proof Length. Search on Bibsonomy SAT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Sujan Pandey, Heiko Zimmer, Manfred Glesner, Max Mühlhäuser High level hardware/software communication estimation in shared memory architecture. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Esther Salamí, Mateo Valero A Vector-µSIMD-VLIW Architecture for Multimedia Applications. Search on Bibsonomy ICPP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Nathan Hurst, Kim Marriott, Peter Moulder Toward tighter tables. Search on Bibsonomy ACM Symposium on Document Engineering The full citation details ... 2005 DBLP  DOI  BibTeX  RDF table layout, optimisation techniques, conic programming
17Magdy A. El-Moursy, Eby G. Friedman Power characteristics of inductive interconnect. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Sherri K. Harms, Jitender S. Deogun Sequential Association Rule Mining with Time Lags. Search on Bibsonomy J. Intell. Inf. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF sequential rule discovery, drought risk management, knowledge discovery, time lag
17Lisimachos P. Kondi, Gerry Melnikov, Aggelos K. Katsaggelos Joint optimal object shape estimation and encoding. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Alexander Korshak Noise-rejection model based on charge-transfer equation for digital CMOS circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Xuefeng Liang, Arijit Bishnu, Tetsuo Asano A Near-Linear Time Algorithm for Binarization of Fingerprint Images Using Distance Transform. Search on Bibsonomy IWCIA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Timothy M. Chan Faster core-set constructions and data stream algorithms in fixed dimensions. Search on Bibsonomy SCG The full citation details ... 2004 DBLP  DOI  BibTeX  RDF geometric optimization problems, approximation algorithms, data streams
17Tsuyoshi Moriyama, Jing Xiao, Jeffrey F. Cohn Meticulously detailed eye model and its application to analysis of facial image. Search on Bibsonomy SMC (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Hans L. Bodlaender, Dimitrios M. Thilikos Computing Small Search Numbers in Linear Time. Search on Bibsonomy IWPEC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Rodney G. Downey, Catherine McCartin Online Problems, Pathwidth, and Persistence. Search on Bibsonomy IWPEC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Vikram Iyengar, Krishnendu Chakrabarty, Erik Jan Marinissen Test Access Mechanism Optimization, Test Scheduling, and Tester Data Volume Reduction for System-on-Chip. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Core-based systems, test wrapper, system-on-a-chip, test scheduling, test access mechanism, testing time, rectangle packing
17Robert Elsässer, Thomas Lücking 0001, Burkhard Monien On Spectral Bounds for the k-Partitioning of Graphs. Search on Bibsonomy Theory Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Padmanabhan Soundararajan, Sudeep Sarkar An In-Depth Study of Graph Partitioning Measures for Perceptual Organization. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF grouping, graph partitioning, empirical evaluation, Perceptual organization, stochastic orders
17Wallace Chigona, Thomas Strothotte Improving readability of contextualized text explanations. Search on Bibsonomy Afrigraph The full citation details ... 2003 DBLP  DOI  BibTeX  RDF dual-use of image space, monotone polygons, multi-column presentation, text layout, distortion, readability
17María C. Molina, José M. Mendías, Román Hermida High-Level Allocation to Minimize Internal Hardware Wastage. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Amit A. Kale, Naresh P. Cuntoor, B. Yegnanarayana 0001, A. N. Rajagopalan 0001, Rama Chellappa Gait Analysis for Human Identification. Search on Bibsonomy AVBPA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Kristoffer Arnsfelt Hansen, Peter Bro Miltersen, V. Vinay Circuits on Cylinders. Search on Bibsonomy FCT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Stefan Szeider On Fixed-Parameter Tractable Parameterizations of SAT. Search on Bibsonomy SAT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Krishna Kant 0001, Ravishankar K. Iyer Design and Performance of Compressed Interconnects for High Performance Servers. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Mohamed A. Elgamel, Kannan S. Tharmalingam, Magdy A. Bayoumi Noise-constrained interconnect optimization for nanometer technologies. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Kouichi Hirata On Condensation of a Clause. Search on Bibsonomy ILP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Sergei Bespamyatnikh An Approximate Morphing between Polylines. Search on Bibsonomy ICCSA (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Susan Havre, Elizabeth G. Hetzler, Paul Whitney, Lucy T. Nowell ThemeRiver: Visualizing Thematic Changes in Large Document Collections. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF time line, Visualization, metaphor, trend analysis
17Kasia Michalak, James Devenish, Robert Linggard, Kris Parker, Irina V. Emelyanova, Lesley Cala, Yianni Attikiouzel, N. Hicks, P. Robbins, F. Mastaglia Automated measurement of brain dimensions. Search on Bibsonomy ICARCV The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Sasanka Roy, Partha P. Goswami, Sandip Das 0001, Subhas C. Nandy Optimal Algorithm for a Special Point-Labeling Problem. Search on Bibsonomy SWAT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Vikram Iyengar, Krishnendu Chakrabarty, Erik Jan Marinissen Wrapper/TAM co-optimization, constraint-driven test scheduling, and tester data volume reduction for SOCs. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Scott A. Mahlke, Rajiv A. Ravindran, Michael S. Schlansker, Robert Schreiber, Timothy Sherwood Bitwidth cognizant architecture synthesis of custom hardwareaccelerators. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Alon Efrat, Sariel Har-Peled, Leonidas J. Guibas, T. M. Murali 0001 Morphing between polylines. Search on Bibsonomy SODA The full citation details ... 2001 DBLP  BibTeX  RDF
17Hristo N. Djidjev, Imrich Vrto An Improved Lower Bound for Crossing Numbers. Search on Bibsonomy GD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Robert Elsässer, Thomas Lücking 0001, Burkhard Monien New spectral bounds on k-partitioning of graphs. Search on Bibsonomy SPAA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Catherine Sophian, Martha E. Crosby What eye-movements tell us about ratios and spatial proportions. Search on Bibsonomy ETRA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Chris C. N. Chu, Martin D. F. Wong A quadratic programming approach to simultaneous buffer insertion/sizing and wire sizing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Youxin Gao, D. F. Wong 0001 Optimal Wire Shape with Consideration of Coupling Capacitance under Elmore Delay Model. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17James H. Kukula, Thomas R. Shiple, Adnan Aziz Techniques for Implicit State Enumeration of EFSMs. Search on Bibsonomy FMCAD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Wei Zhang, Fredrik Bergholm Multi-Scale Blur Estimation and Edge Type Classification for Scene Analysis. Search on Bibsonomy Int. J. Comput. Vis. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF edge classification, blur estimation, edge attribute estimation, edge type, signatures, scale space, junctions, depth-from-focus
17John P. Fishburn Shaping a VLSI wire to minimize Elmore delay. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Avaneendra Gupta, John P. Hayes CLIP: An Optimizing Layout Generator for Two-Dimensional CMOS Cells. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Debashis Basak, Dhabaleswar K. Panda 0001 Designing Clustered Multiprocessor Systems under Packaging and Technological Advancements. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF k-ary n-cube interconnection, packaging constraints, interconnection networks, parallel architectures, Multiprocessor systems, clustered architectures, hierarchical organization, scalable systems
17Pietro Parodi, Giulia Piccioli A fast and flexible statistical method for text extraction in document pages. Search on Bibsonomy CVPR The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Ton Kloks, Hans L. Bodlaender Approximating Treewidth and Pathwidth of some Classes of Perfect Graphs. Search on Bibsonomy ISAAC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Sreejit Chakravarty, Xin He, S. S. Ravi Minimum area layout of series-parallel transistor networks is NP-hard. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17Christian H. Bischof, Philippe G. Lacroute An Adaptive Blocking Strategy for Matrix Factorizations. Search on Bibsonomy CONPAR The full citation details ... 1990 DBLP  DOI  BibTeX  RDF adaptive blocking, performance evaluation, QR factorization, block algorithm, performance portability
17Neff Walker, John B. Smelcer A comparison of selection time from walking and pull-down menus. Search on Bibsonomy CHI The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Terry Winograd What can we teach about human-computer interaction? (plenary address). Search on Bibsonomy CHI The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Hans Brunner A snapshot of natural language interfaces (panel). Search on Bibsonomy CHI The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Jakob Nielsen Designing for international use (panel). Search on Bibsonomy CHI The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17S. Joy Mountford Designers: meet your users (panel). Search on Bibsonomy CHI The full citation details ... 1990 DBLP  DOI  BibTeX  RDF Apple Computer, HyperCard, Inc
17Marcy Telles Updating an older interface. Search on Bibsonomy CHI The full citation details ... 1990 DBLP  DOI  BibTeX  RDF WordStar
Displaying result #401 - #500 of 5950 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license