The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for SoC with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1937-1972 (75) 1973 (84) 1974 (82) 1975 (87) 1976 (94) 1977 (77) 1978 (77) 1979 (98) 1980 (99) 1981 (119) 1982 (165) 1983 (91) 1984 (92) 1985 (92) 1986 (134) 1987 (124) 1988 (134) 1989 (54) 1990 (143) 1991 (149) 1992 (123) 1993 (116) 1994 (145) 1995 (183) 1996 (255) 1997 (257) 1998 (625) 1999 (719) 2000 (907) 2001 (775) 2002 (931) 2003 (1159) 2004 (1255) 2005 (1415) 2006 (1554) 2007 (1575) 2008 (1473) 2009 (1448) 2010 (1445) 2011 (1742) 2012 (1741) 2013 (1771) 2014 (1645) 2015 (1937) 2016 (1970) 2017 (1932) 2018 (2081) 2019 (1946) 2020 (2112) 2021 (2419) 2022 (2556) 2023 (2870) 2024 (970)
Publication types (Num. hits)
article(37376) book(6) data(5) incollection(72) inproceedings(8546) phdthesis(37) proceedings(80)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3961 occurrences of 1777 keywords

Results
Found 46124 publication records. Showing 46122 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
26Axel G. Braun, Djones Lettnin, Joachim Gerlach, Wolfgang Rosenstiel Automated Conversion of SystemC Fixed-Point Data Types. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Antonio Carlos Schneider Beck, Luigi Carro Low Power Java Processor for Embedded Applications. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Giuseppe Bonfini, Andrea S. Brogna, Roberto Saletti, Cristian Garbossa, Luca Colombini, Maurizio Bacci, Stefania Chicca, Franco Bigongiari A Switched Opamp Based 10 Bits Integrated ADC for Ultra Low Power Applications. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Cristiano Lazzari, Cristiano Viana Domingues, José Luís Güntzel, Ricardo Reis 0001 A Novel full Automatic Layout Generation Strategy for Static CMOS Circuits. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Stephan Henzler, Philip Teichmann, Markus Koban, Jörg Berthold, Georg Georgakos, Doris Schmitt-Landsiedel Impact of Gate Leakage on Efficiency of Circuit Block Switch-Off Schemes. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Jürgen Becker 0001, Michael Hübner 0001, Michael Ullmann Run-Time FPGA Reconfiguration for Power-/Cost-Optimized Real-time Systems. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26José Augusto Miranda Nacif, Claudionor Nunes Coelho, Harry Foster, Flávio Miana de Paula, Edjard Mota, Márcia Roberta Falcão Mota, Antônio Otávio Fernandes On-Chip Property Verification Using Assertion Processors. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Thomas Hollstein, Ralf Ludewig, Heiko Zimmer, Christoph Mager, Simon Hohenstern, Manfred Glesner Hinoc: A Hierarchical Generic Approach for on-Chip Communication, Testing and Debugging of SoCs. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26João M. S. Silva, Luís Miguel Silveira Dynamic Models for Substrate Coupling in Mixed-Mode Systems. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Casper Lageweg, Sorin Cotofana, Stamatis Vassiliadis Evaluation Methodology for Single Electron Encoded Threshold Logic Gates. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Eduardo A. C. da Costa, José C. Monteiro 0001, Sergio Bampi Gray Encoded Arithmetic Operators Applied to FFT and FIR Dedicated Datapaths. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Nicole Drechsler, Rolf Drechsler Exploration of Sequential Depth by Evolutionary Algorithms. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Dominique Borrione, Menouer Boubekeur, Laurent Mounier, Marc Renaudin, Antoine Siriani Validation of Asynchronous Circuit Specifications Using IF/CADP. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Valentina Ciriani, Anna Bernasconi 0001, Rolf Drechsler Stuck-At-Fault Testability of SPP Three-Level Logic Forms. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Michel Robert, Bruno Rouzeyre, Christian Piguet, Marie-Lise Flottes (eds.) SOC Design Methodologies, IFIP TC10/WG10.5 Eleventh International Conference on Very Large Scale Integration of Systems-on/Chip (VLSI-SOC'01), December 3-5, 2001, Montpellier, France Search on Bibsonomy VLSI-SOC The full citation details ... 2002 DBLP  BibTeX  RDF
26Zhihong Zeng, Maciej J. Ciesielski, Bruno Rouzeyre Functional Test Generation using Constraint Logic Programming. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26Lounis Kessal, R. Bourguiba, Didier Demigny, N. Boudouani, Si Mahmoud Karabernou Reconfigurable Architecture Using High Speed FPGA. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26Braulio Adriano de Mello, Flávio Rech Wagner A Standardized Co-simulation Backbone. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26Stephen M. Pisuk, Peter Hsin-Yu Wu Design Considerations of a Low-Complexity, Low-Power Integer Turbo Decoder. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26Gilles Sassatelli, Lionel Torres, Pascal Benoit, Gaston Cambon, Michel Robert, Jérôme Galy Dynamically Reconfigurable Architectures for Digital Signal Processing Applications. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26Florence Azaïs, Serge Bernard, Yves Bertrand, Michel Renovell On-chip Generator of a Saw-Tooth Test Stimulus for ADC BIST. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26Eric Senn, Eric Martin 0001 A Vision System on Chip for Industrial Control. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26Morgan Hirosuke Miki, Motoki Kimura, Takao Onoye, Isao Shirakawa High Performance Java Hardware Engine and Software Kernel for Embedded Systems. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26Amaury Nève, Denis Flandre Design of a Branch-Based Carry-Select Adder IP Portable in 0.25 µm Bulk and Silicon-On-Insulator CMOS Technologies. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26Philippe Maurine, Nadine Azémard, Daniel Auvergne Gate Sizing for Low Power Design. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26Didier Demigny, Lounis Kessal, J. Pons Fast Recursive Implementation of the Gaussian Filter. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26Marie-Lise Flottes, Julien Pouget, Bruno Rouzeyre Power-Constrained Test Scheduling for SoCs Under a "no session" Scheme. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26Bruno Casadei, Jean-Piere Le Normand, Yann Hu, Bernard Cunin Design of a Fast CMOS APS Imager for High Speed Laser Detections. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26Jean-Max Dutertre, F. M. Roche, Guy Cathébras Integration of Robustness in the Design of a Cell. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26Jean-Baptiste Rigaud, Jerome Quartana, Laurent Fesquet, Marc Renaudin Modeling and Design of Asynchronous Priority Arbiters for On-Chip Communication Systems. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26Takashi Komuro, Masatoshi Ishikawa 64×64 Pixels General Purpose Digital Vision Chip. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26Christophe Lallement, François Pêcheux, Yannick Hervé A VHDL-AMS Case Study: The Incremental Design of an Efficient 3rd Generation MOS Model of a Deep Sub Micron Transistor. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26Samy Meftali, Ferid Gharsalli, Frédéric Rousseau 0001, Ahmed Amine Jerraya Automatic Code-Transformation and Architecture Refinement for Application-Specific Multiprocessor SoCs with Shared Memory. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26Raphaël David, Daniel Chillet, Sébastien Pillement, Olivier Sentieys A Dynamically Reconfigurable Architecture for Low-Power Multimedia Terminals. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26Peer Johannsen, Rolf Drechsler Speeding Up Verification of RTL Designs by Computing One-to-one Abstractions with Reduced Signal Widths. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26Leandro Soares Indrusiak, Jürgen Becker 0001, Manfred Glesner, Ricardo Augusto da Luz Reis Distributed Collaborative Design over Cave2 Framework. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26Nuno Roma, Leonel Sousa A New Efficient VLSI Architecture for Full Search Block Matching Motion Estimation. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26Catherine H. Gebotys, Radu Muresan Modeling Power Dynamics for an Embedded DSP Processor Core. An Empirical Model. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26Vincent Beroulle, Yves Bertrand, Laurent Latorre, Pascal Nouet Noise optimisation of a piezoresistive CMOS MEMS for magnetic field sensing. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26René David, Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel Random Adjacent Sequences: An Efficient Solution for Logic BIST. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26Jung Hyun Choi, Sergio Bampi CMOS Mixed-signal Circuits Design on a Digital Array Using Minimum Transistors. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26Nadine Azémard, M. Aline, Philippe Maurine, Daniel Auvergne Feasible Delay Bound Definition. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26Vincent Beroulle, Laurent Latorre, M. Dardalhon, Coumar Oudéa, Guy Perez, Francis Pressecq, Pascal Nouet Impact of Technology Spreading on MEMS design Robustness. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26David Bernard, Christian Landrault, Pascal Nouet Interconnect Capacitance Modelling in a VDSM CMOS Technology. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26Brian W. Curran, Mary Gifaldi, Jason Martin, Alper Buyuktosunoglu, Martin Margala, David H. Albonesi Low-Voltage 0, 25 µm CMOS Improved Power Adaptive Issue Queue for Embedded Microprocessors. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26Kiyoo Itoh 0001, Hiroyuki Mizuno Low-Voltage Embedded-RAM Technology: Present and Future. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26Erik Jan Marinissen An Industrial Approach to Core-Based System Chip Testing. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26Cristiano C. de Araújo, Edna Barros Abstract Communication Model and Automatic Interface generation for IP integration in Hardware/Software Co-design. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26João Cláudio Soares Otero, Flávio Rech Wagner An Object-Oriented Methodology for Modeling the Precise Behavior of Processor Architectures. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26Patricia Guitton-Ouhamou, Cécile Belleudy, Michel Auguin Power Consumption Model for the DSP OAK Processor. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26P. Lamaty, B. Mazar, Didier Demigny, Lounis Kessal, Si Mahmoud Karabernou Two ASIC for Low and Middle Levels of Real Time Image Processing. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
26Raul Camposano, Don MacMillen Design Technology for Systems-on-Chip. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
25G. Seetharaman, B. Venkataramani Automation Schemes for FPGA Implementation of Wave-Pipelined Circuits. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FPGA, pipelining, SOC, CORDIC, wave-pipelining, DAA
25Diogo José Costa Alves, Edna Barros A logic built-in self-test architecture that reuses manufacturing compressed scan test patterns. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF LBIST, compressed test patterns, test, SoC, self-test
25Iman Saleh 0002, Gregory Kulczycki, M. Brian Blake A Reusable Model for Data-Centric Web Services. Search on Bibsonomy ICSR The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Data-Centric Web Services, SOA, Formal Specification, SOC
25Reshma C. Jumani, Niraj Bharatkumar Jain, Virendra Singh, Kewal K. Saluja DX-compactor: distributed X-compaction for SoCs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dx-compactor, hierarchical compactor, x-compactor, SoC, compaction
25Warren Stapleton, Paul Tobin Verification problems in reusing internal design components. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF verification, validation, IP, SOC
25Santhosh Coimbatore Vaidyanathan, Amit Mangesh Brahme, Sukumar Jairam Techniques for Early Package Closure in System-in-Packages. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF spacer, landing, SoC, SIP, CSP, MCM, POP
25Vicente Galiano Ibarra, Héctor Migallón Gomis, David Pérez-Caparrós, Juan Alejandro Palomino Benito, Marcos Martínez Speeding Up in Distributed SystemC Simulations. Search on Bibsonomy DCAI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF MPI, SoC, Distributed Simulation, SystemC, RTL
25Isask'har Walter, Israel Cidon, Ran Ginosar, Avinoam Kolodny Access Regulation to Hot-Modules in Wormhole NoCs. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF SoC, resource management, Network on-Chip, hotspot, wormhole
25Antoine Perrin, Frank Ghenassia Bridging gap between simulation and spreadsheet study. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF performance, verification, SoC, SystemC
25Tobias Bjerregaard, Shankar Mahadevan A survey of research and practices of Network-on-chip. Search on Bibsonomy ACM Comput. Surv. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Chip-area networks, GSI design, OCP, ULSI design, communication-centric design, SoC, system-on-chip, network-on-chip, interconnects, NoC, GALS, sockets, on-chip communication, communication abstractions
25Victor M. Goulart Ferreira, Lovic Gauthier, Takayuki Kando, Takuma Matsuo, Toshihiko Hashinaga, Kazuaki J. Murakami REDEFIS: a system with a redefinable instruction set processor. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF ISA customization, dynamically reconfigurable processor, low power, SoC, high performance
25Christoph Kutter Design challenges for mobile communication devices. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF design for low power, SoC, leakage
25Stephen E. Krufka, Phillip Christie Terminal optimization analysis for functional block re-use. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF optimization, SoC, interconnect, Rent's rule
25Resve A. Saleh, G. Lim, T. Kadowaki, K. Uchiyama Trends in Low Power Digital System-on-Chip Designs (invited). Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Low-Power CMOS, Design, SoC, Digital
25Bilge Saglam Akgul, Jaehwan Lee 0002, Vincent John Mooney III A system-on-a-chip lock cache with task preemption support. Search on Bibsonomy CASES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF lock synchronization, multi-processor synchronization, SoC, shared memory, RTOS, preemption
25Ruofan Xu, Michael S. Hsiao Embedded core testing using genetic algorithms. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF gate level implementation, user defined logic, random inputs, high level benchmarks, wrapper size, genetic algorithms, genetic algorithms, fault diagnosis, logic testing, controllability, controllability, high level synthesis, automatic test pattern generation, observability, observability, application specific integrated circuits, fault coverage, SOC, test application time, test patterns, embedded core testing, internal state
24Thomas Edison Yu, Tomokazu Yoneda, Krishnendu Chakrabarty, Hideo Fujiwara Test infrastructure design for core-based system-on-chip under cycle-accurate thermal constraints. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF TAM design, thermal-aware test, wrapper design, test scheduling, SOC test
24Katherine Shu-Min Li, Chung-Len Lee 0001, Chauchin Su, Jwu E. Chen IEEE Standard 1500 Compatible Oscillation Ring Test Methodology for Interconnect Delay and Crosstalk Detection. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF oscillation ring (OR) test scheme, open faults, crosstalk glitches, IEEE P1500, wrapper cell design, stuck-at faults, delay faults, SOC testing, interconnect test
24D. Barros Júnior, Marcial Jesús Rodríguez-Irago, Marcelino B. Santos, Isabel C. Teixeira, Fabian Vargas 0001, João Paulo Teixeira 0001 Fault Modeling and Simulation of Power Supply Voltage Transients in Digital Systems on a Chip. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF intermittent faults modeling and simulation, digital SoC, EMI/EMC standard compliance, delay fault simulation, power supply voltage transients, fault tolerance
24Resve A. Saleh An approach that will NoC your SoCs off! Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF networks on chips, SoC design, Moore's law, interconnect delay, IP blocks
24Joel Coburn, Srivaths Ravi 0001, Anand Raghunathan, Srimat T. Chakradhar SECA: security-enhanced communication architecture. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF AMBA Bus, security-aware design, small embedded systems, security, communication, access control, architecture, intrusion detection, system-on-chip (SoC), attacks, bus, digital rights management (DRM)
24Lei Li 0036, Krishnendu Chakrabarty On Using Exponential-Golomb Codes and Subexponential Codes for System-on-a-Chip Test Data Compression. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF compression codes, SOC testing, embedded core testing, test data volume
24Sandeep Kumar Goel, Erik Jan Marinissen A Test Time Reduction Algorithm for Test Architecture Design for Core-Based System Chips. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF TAM and wrapper design, test scheduling, SOC-test
24Lei Li 0036, Krishnendu Chakrabarty, Nur A. Touba Test data compression using dictionaries with selective entries and fixed-length indices. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF reduced pin-count testing, SoC testing, test application time, Embedded core testing, test data volume
24Anuja Sehgal, Vikram Iyengar, Mark D. Krasniewski, Krishnendu Chakrabarty Test cost reduction for SOCs using virtual TAMs and lagrange multipliers. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF bandwidth matching, automatic test equipment (ATE), test access mechanism (TAM), scan chains, system-on-chip (SOC)
24Mounir Benabdenbi, Walid Maroufi, Meryem Marzouki CAS-BUS: A Test Access Mechanism and a Toolbox Environment for Core-Based System Chip Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF SoC test control, TAPed cores, P1500 wrappers, test access mechanism, I/O bandwidth
24Zahra Sadat Ebadi, André Ivanov Design of an Optimal Test Access Architecture Using a Genetic Algorithm. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Optimal testing time, test data width, Genetic Algorithm, Test Access Mechanism (TAM), SOC testing, Embedded core testing
24Liang-Hao Wang, Dongxiao Li, Ming Zhang 0001 SoC Design of VLD in Multi-standard Video Decoder for Wearable Multimedia Players. Search on Bibsonomy APWCS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multi-standard, video, SoC, wearable system
24Wei Liu A SOC Design for AVS Video Decoding. Search on Bibsonomy PACIIA (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF C model, SOC, AVS, IP core
24Ahmed Amine Jerraya, Olivier Franza, Markus Levy, Masao Nakaya, Pierre G. Paulin, Ulrich Ramacher, Deepu Talla, Wayne H. Wolf Roundtable: Envisioning the Future for Multiprocessor SoC. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multiprocessor, SoC, multicore, MPSoC, CPU, chip
24Peter Rickert, William Krenik Cell Phone Integration: SiP, SoC, and PoP. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF PiP, analog integration, RF integration, memory integration, SoC, SiP, PoP
24Jong-Yeol Lee, In-Cheol Park Timed compiled-code simulation of embedded software for performance analysis of SOC design. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF timed functional simulation, compiler, SOC
23Soraj Hongladarom Global culture, local cultures and the internet: The Thai example. Search on Bibsonomy AI Soc. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Cultural homogenisation, Michael Walzer, soc.culture.thai, Thai culture, Thai language, thick, Usenet newsgroup, Internet, thin, Thailand
23Jeong-Ho Woo, Ju-Ho Sohn, Hyejung Kim, Hoi-Jun Yoo A Low-Power Multimedia SoC with Fully Programmable 3D Graphics for Mobile Devices. Search on Bibsonomy IEEE Computer Graphics and Applications The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Mobile multimedia SoC, mobile unified shader, low-power design, 3D graphics, programmable
23Philippe Grosse, Yves Durand, Paul Feautrier Methods for power optimization in SOC-based data flow systems. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 4G base-band modem, data-driven SOC, synchronous data-flow graph, Power optimization
23Davide Appello, Paolo Bernardi, R. Cagliesi, M. Giancarlini, Michelangelo Grosso, Edgar E. Sánchez, Matteo Sonza Reorda Automatic Functional Stress Pattern Generation for SoC Reliability Characterization. Search on Bibsonomy ETS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF SoC reliability characterization
23Zhiyuan He 0002, Zebo Peng, Petru Eles, Paul M. Rosinger, Bashir M. Al-Hashimi Thermal-Aware SoC Test Scheduling with Test Set Partitioning and Interleaving. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Thermal-aware testing, Test scheduling, SoC testing
23Hongkyun Jung, Xianzhe Jin, Younjin Jung, Ok Kim, Byoungyup Lee, Jungbum Heo, Kwangki Ryoo Design of Multimedia SoC Platform with a Crossbar On-Chip Bus for Embedded Systems. Search on Bibsonomy NCM (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SoC platform, crossbar, on-chip bus
23Ramón José Aliaga, Rafael Gadea Gironés, Ricardo José Colom-Palero, José María Monzó, Christoph W. Lerche, Jorge Daniel Martínez, Angel Sebastiá, Fernando Mateo SoC-Based Implementation of the Backpropagation Algorithm for MLP. Search on Bibsonomy HIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF system-on-chip (SoC), parallel systems, multilayer perceptron (MLP), backpropagation, timing model
23Carlos Fernández, Rajkumar K. Raval, Chris J. Bleakley GALS SoC interconnect bus for wireless sensor network processor platforms. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF SoC bus, application specific bus, system on chip bus, WSN, wireless sensor network, low power, GALS
23Mahmut T. Kandemir Reducing energy consumption of multiprocessor SoC architectures by exploiting memory bank locality. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Banked memory systems, bank locality, compiler optimization, energy consumption, multiprocessor SoC
23Vijay K. Jain, Glenn H. Chapman Defect Tolerant and Energy Economized DSP Plane of a 3-D Heterogeneous SoC. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF 3D Heterogeneous sensor, redundancy and reconfiguration, energy economization, heterogeneous SOC, J-platform, defect tolerance
23Alessio Bechini, Pierfrancesco Foglia, Cosimo Antonio Prete Fine-grain design space exploration for a cartographic SoC multiprocessor. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2003 DBLP  DOI  BibTeX  RDF SoC Multiprocessors, performance evaluation, embedded systems, trace-driven simulation, multiprocessor architecture
23Philippe Brunet, Camel Tanougast, Yves Berviller, Serge Weber Hardware Partitioning Software for Dynamically Reconfigurable SoC Design. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGA Dynamic Reconfiguration RTR SOC
23Shuichi Sakai CMP on SoC: Architect's View. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF CMP (Chip Multiprocessor), I/O centric, SoC (System on Chip), parallel processing, dependability
23Sandeep Kumar Goel, Erik Jan Marinissen, Anuja Sehgal, Krishnendu Chakrabarty Testing of SoCs with Hierarchical Cores: Common Fallacies, Test Access Optimization, and Test Scheduling. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
23Joachim Keinert, Martin Streubühr, Thomas Schlichter, Joachim Falk, Jens Gladigau, Christian Haubelt, Jürgen Teich, Michael Meredith SystemCoDesigner - an automatic ESL synthesis approach by design space exploration and behavioral synthesis for streaming applications. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF System design, hardware/software codesign
23Dan Zhao 0001, Yi Wang 0007 MTNet: Design of a Wireless Test Framework for Heterogeneous Nanometer Systems-on-Chip. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 46122 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license