The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for analog with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1953-1954 (19) 1955-1956 (22) 1957 (21) 1958-1959 (24) 1960 (15) 1961 (17) 1962 (25) 1963 (18) 1964 (19) 1965 (21) 1966-1967 (34) 1968 (25) 1969-1970 (21) 1971-1972 (16) 1973-1974 (15) 1975-1976 (22) 1977-1978 (22) 1979 (18) 1980-1981 (28) 1982 (18) 1983 (17) 1984 (21) 1985 (17) 1986 (20) 1987 (16) 1988 (59) 1989 (59) 1990 (76) 1991 (77) 1992 (83) 1993 (127) 1994 (173) 1995 (177) 1996 (188) 1997 (171) 1998 (234) 1999 (337) 2000 (261) 2001 (304) 2002 (364) 2003 (453) 2004 (470) 2005 (587) 2006 (656) 2007 (627) 2008 (565) 2009 (400) 2010 (381) 2011 (400) 2012 (457) 2013 (438) 2014 (431) 2015 (494) 2016 (488) 2017 (512) 2018 (543) 2019 (551) 2020 (512) 2021 (553) 2022 (580) 2023 (634) 2024 (147)
Publication types (Num. hits)
article(5354) book(29) data(13) incollection(38) inproceedings(8474) phdthesis(171) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2846 occurrences of 1432 keywords

Results
Found 14080 publication records. Showing 14080 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
22Sudarshan Bahukudumbi, Krishna Bharath A Low Overhead High Speed Histogram Based Test Methodology for Analog Circuits and IP Cores. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Georges G. E. Gielen, Trent McConaghy, Tom Eeckelaert Performance space modeling for hierarchical synthesis of analog integrated circuits. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF hierarchical synthesis
22B. K. S. V. L. Varaprasad, Lalit M. Patnaik, Hirisave S. Jamadagni, V. K. Agrawal A new ATPG technique (MultiDetect) for testing of analog macros in mixed-signal circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Chandrasekar Rajagopal, Adrián Núñez-Aldana CMOS Analog Programmable Logic Array. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Sheldon X.-D. Tan, Zhenyu Qi, Hang Li Hierarchical Modeling and Simulation of Large Analog Circuits. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Tholom Kiely, Georges G. E. Gielen Performance Modeling of Analog Integrated Circuits Using Least-Squares Support Vector Machines. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Régis Leveugle, Abdelaziz Ammari Early SEU Fault Injection in Digital, Analog and Mixed Signal Circuits: A Global Flow. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Sambuddha Bhattacharya, Nuttorn Jangkrajarng, Roy Hartono, C.-J. Richard Shi Hierarchical extraction and verification of symmetry constraints for analog layout automation. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Olivier Bournez, Emmanuel Hainry An Analog Characterization of Elementarily Computable Functions over the Real Numbers. Search on Bibsonomy ICALP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Ganesh Srinivasan, Shalabh Goyal, Abhijit Chatterjee Reconfiguration for Enhanced ALternate Test (REALTest) of Analog Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Donghoon Han, Abhijit Chatterjee Device Resizing Based Optimization of Analog Circuits for Reduced Test Cost: Cost Metric and Case Study. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Xin Li 0001, Padmini Gopalakrishnan, Yang Xu 0017, Lawrence T. Pileggi Robust analog/RF circuit design with projection-based posynomial modeling. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Hyongsuk Kim, Hongrak Son, Tamás Roska, Leon O. Chua Very high speed Viterbi decoder with circularly connected analog CNN cell array. Search on Bibsonomy ISCAS (3) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Radu M. Secareanu, Bill Peterson An adaptive circuits concept to address mismatch in analog circuits. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Jorge Luís Machado do Amaral, José Franco Machado do Amaral, Ricardo Tanscheit, Marco Aurélio Cavalcanti Pacheco An Immune Inspired Fault Diagnosis System for Analog Circuits using Wavelet Signatures. Search on Bibsonomy Evolvable Hardware The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Sina Balkir, Günhan Dündar, Güner Alpaydin Evolution Based Synthesis of Analog Integrated Circuits and Systems. Search on Bibsonomy Evolvable Hardware The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Pedro F. Vieira, Leonardo Bruno de Sá, João P. B. Botelho, Antonio Carneiro de Mesquita Filho Evolutionary Synthesis of Analog Circuits Using Only MOS Transistors. Search on Bibsonomy Evolvable Hardware The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Petr Dobrovolný, Gerd Vandersteen, Piet Wambacq, Stéphane Donnay Analysis and compact behavioral modeling of nonlinear distortion in analog communication circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Ramy Iskander, Mohamed Dessouky, Maie Aly, Mahmoud Magdy, Noha Hassan, Noha Soliman, Sami Moussa Synthesis of CMOS Analog Cells Using AMIGO. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Alessandro Girardi, Sergio Bampi LIT - An Automatic Layout Generation Tool for Trapezoidal Association of Transistors for Basic Analog Building Blocks. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Alessandro Girardi, Fernando da Rocha Paixão Cortes, Eric E. Fabris, Sergio Bampi Analog IC Modules Design Using Trapezoidal Association of MOS Transistors in 0.35µm Technology. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22John A. Nestor, David A. Rich Integrating Digital, Analog, and Mixed-Signal Design in an Undergraduate ECE Curriculum. Search on Bibsonomy MSE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Antonio F. Mondragón-Torres, Terry Mayhugh Jr., José Pineda de Gyvez, José Silva-Martínez, Edgar Sánchez-Sinencio An Analog Integrated Circuit Design Laboratory. Search on Bibsonomy MSE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Dean Liu, Stefanos Sidiropoulos, Mark Horowitz A Framework for Designing Reusable Analog Circuits. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22David A. Gwaltney, Michael I. Ferguson Hardware Evolution of Analog Speed Controllers for a DC Motor. Search on Bibsonomy GECCO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Nuttorn Jangkrajarng, Sambuddha Bhattacharya, Roy Hartono, C.-J. Richard Shi Automatic analog layout retargeting for new processes and device sizes. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Eric E. Fabris, Luigi Carro, Sergio Bampi An analog signal interface with constant performance for SoCs. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Stephen K. Sunter Testing High Frequency ADCs and DACs with a Low Frequency Analog Bus. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Ramakrishna Voorakaranam, Randy Newby, Sasikumar Cherubal, Bob Cometta, Thomas Kuehl, David M. Majernik, Abhijit Chatterjee Production Deployment of a Fast Transient Testing Methodology for Analog Circuits : Case Study and Results. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22David A. Gwaltney, Michael I. Ferguson Intrinsic Hardware Evolution for the Design and Reconfiguration of Analog Speed Controllers for a DC Motor. Search on Bibsonomy Evolvable Hardware The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Baidya Nath Ray, Parimal Pal Chaudhuri, Prasanta Kumar Nandi, P. K. Ghosh Synthesis Of Programmable Current Mode Linear Analog Circuit. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Band Reject Filter, Low Pass Filter, Band Pass Filter, Operational Transconductance Amplifier, High Pass Filter
22Daniel Micusík, Viera Stopjaková, Lubica Benusková Application of Feed-forward Artificial Neural Networks to the Identification of Defective Analog Integrated Circuits. Search on Bibsonomy Neural Comput. Appl. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Circuits response investigation, Fault modelling and simulation, Resilient-backpropagation neural networks, Signal filtering, Supply current analysis
22Baidya Nath Ray, Parimal Pal Chaudhuri, Prasanta Kumar Nandi Efficient synthesis of OTA network for linear analog functions. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Carlo Guardiani, Patrick McNamara, Lidia Daldoss, Sharad Saxena, Stefano Zanella, Wei Xiang, Suli Liu Analog IP Testing: Diagnosis and Optimization. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Hao-Chiao Hong, Jiun-Lang Huang, Kwang-Ting Cheng, Cheng-Wen Wu On-chip Analog Response Extraction with 1-Bit ? - Modulators. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Shambhu J. Upadhyaya, Jae Min Lee, Padmanabhan Nair Time Slot Specification Based Approach to Analog Fault Diagnosis Using Built-in Current Sensors and Test Point Insertion. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Maziar Tavakoli Dastjerdi, Rahul Sarpeshkar A low-noise nonlinear feedback technique for compensating offset in analog multipliers. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Andrea Xotta, Daniele Vogrig, Andrea Gerosa, Andrea Neviani, Alexandre Graell i Amat, Guido Montorsi, Melchiorre Bruccoleri, Giorgio Betti An all-analog CMOS implementation of a turbo decoder for hard-disk drive read channels. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Janusz A. Starzyk, Dong Liu Locating stuck faults in analog circuits. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Paul D. Smith, Matt Kucic, Richard Ellis, Paul E. Hasler, David V. Anderson Mel-frequency cepstrum encoding in analog floating-gate circuitry. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Sule Ozev, Alex Orailoglu Boosting the Accuracy of Analog Test Coverage Computation through Statistical Tolerance Analysis. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22José Franco Machado do Amaral, Jorge Luís Machado do Amaral, Cristina Costa Santini, Ricardo Tanscheit, Marley M. B. R. Vellasco, Marco Aurélio Cavalcanti Pacheco Towards Evolvable Analog Fuzzy Logic Controllers. Search on Bibsonomy Evolvable Hardware The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Faizal Arya Samman, Rhiza S. Sadjad Analog MOS circuit design for reconfigurable fuzzy logic controller. Search on Bibsonomy APCCAS (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Hongzhou Liu, Amith Singhee, Rob A. Rutenbar, L. Richard Carley Remembrance of circuits past: macromodeling by data mining in large analog design spaces. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Geert Van der Plas, Geert Debyser, Francky Leyn, Koen Lampaert, Jan Vandenbussche, Georges G. E. Gielen, Willy M. C. Sansen, Petar Veselinovic, Domine Leenaerts AMGIE-A synthesis environment for CMOS analog integrated circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Mani Soma, Sam D. Huynh, Jinyan Zhang, Seongwon Kim, Giri Devarayanadurg Hierarchical ATPG for Analog Circuits and Systems. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22S. Sandeep Pradhan, Kannan Ramchandran Enhancing Analog Image Transmission Systems Using Digital Side Information: A New Wavelet-Based Image Coding Paradigm. Search on Bibsonomy Data Compression Conference The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Per Löwenborg, Håkan Johansson Quantization noise in filter bank analog-to-digital converters. Search on Bibsonomy ISCAS (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Abdelhakim Khouas, Anne Derieux FDP: fault detection probability function for analog circuits. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Sangjin Hong, Wayne E. Stark Performance effects of using analog memory in baseband signal processing systems design. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Keng Hoong Wee, Toshiyuki Nozawa, Takemi Yonezawa, Yuichiro Yamashita, Tadashi Shibata, Tadahiro Ohmi High-precision analog EEPROM with real-time write monitoring. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Felix Lustenberger, Hans-Andrea Loeliger On mismatch errors in analog-VLSI error correcting decoders. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Krissanapong Nandhasri, Jitkasem Ngarmnil Designs of analog and digital comparators with FGMOS. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Yngvar Berg, Snorre Aunet, Øivind Næss, Mats Høvin Floating-gate CMOS differential analog inverter for ultra low-voltage applications. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Cristina Costa Santini, Marco Aurélio Cavalcanti Pacheco, Marley M. B. R. Vellasco, Moisés H. Szwarcman, Ricardo Salem Zebulum Pama - Programmable Analog Multiplexer Array. Search on Bibsonomy Evolvable Hardware The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Tamal Mukherjee, L. Richard Carley, Rob A. Rutenbar Efficient handling of operating range and manufacturing linevariations in analog cell synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Mattias Duppils, Christer Svensson Low power mixed analog-digital signal processing. Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Ricardo Salem Zebulum, Cristina Costa Santini, Helio Takahiro Sinohara, Marco Aurélio Cavalcanti Pacheco, Marley M. B. R. Vellasco, Moisés H. Szwarcman A Reconfigurable Platform for the Automatic Synthesis of Analog Circuits. Search on Bibsonomy Evolvable Hardware The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Baidya Nath Ray, Parimal Pal Chaudhuri, Prasanta Kumar Nandi Design of OTA Based Field Programmable Analog Array. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Operational Transconductance Amplifier(OTA), Amplitude Modulation (AM), Frequency Modulation (FM)
22Thorsten Adler, Hiltrud Brocke, Lars Hedrich, Erich Barke A current driven routing and verification methodology for analog applications. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF multiterminal signal nets, routing, verification, design methodology, Steiner tree, electromigration, current density
22Rodney Phelps, Michael Krasnicki, Rob A. Rutenbar, L. Richard Carley, James R. Hellums A case study of synthesis for industrial-scale analog IP: redesign of the equalizer/filter frontend for an ADSL CODEC. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Jing Xu, Raymond E. Siferd, Robert L. Ewing High Performance CMOS Analog Arithmetic Circuits. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Emmanuel Simeu, Arno W. Peters, Iyad Rayane Automatic Design of Optimal Concurrent Fault Detector for Linear Analog Systems. Search on Bibsonomy FTCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF concurrent, detection, state space, residual, netlist
22Aryan Saed, Majid Ahmadi, Graham A. Jullien Arithmetic Circuits for Analog Digits. Search on Bibsonomy ISMVL The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Jaime Velasco-Medina, Iyad Rayane, Michael Nicolaidis On-Line BIST for Testing Analog Circuits. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Xuan Zeng 0001, J. Guan, Wenqing Zhao, Pushan Tang, Dian Zhou A constraint-based placement refinement method for CMOS analog cell layout. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Sudip Chakrabarti, Abhijit Chatterjee Fault modeling and fault sampling for isolating faults in analog and mixed-signal circuits. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Felix Lustenberger, Markus Helfenstein, Hans-Andrea Loeliger, Felix Tarköy, George S. Moschytz An analog VLSI decoding technique for digital codes. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Ying Wang, Han Ngee Tan The Development of Analog SPICE Behavioral Model Based on IBIS Model. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Stephen K. Sunter, Naveena Nagi Test Metrics for Analog Parametric Faults. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Zhihua Wang, Georges G. E. Gielen, Willy M. C. Sansen Probabilistic fault detection and the selection of measurements for analog integrated circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Jason D. Lohn, Silvano Colombano Automated Analog Circuit Sythesis Using a Linear Representation. Search on Bibsonomy ICES The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Chieh-Yuan Chao, Hung-Jen Lin, L. Miler Optimal testing of VLSI analog circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
22Vladimír Székely, Andras Pahi, András Poppe, Márta Rencz, Alpar Csendes SISSSI-A tool for dynamic electro-thermal simulation of analog VLSI cells. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
22C.-J. Richard Shi, Xiang-Dong Tan Symbolic analysis of large analog circuits with determinant decision diagrams. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
22Fabio Ancona, Giorgio Oddone, Stefano Rovetta, Gianni Uneddu, Rodolfo Zunino VLSI Architectures for Programmable Sorting of Analog Quantities with Multiple-Chip Support. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
22Yingquan Zhou, Mike W. T. Wong, Yinghua Min Hardware reduction in continuous checksum-based analog checkers: Algorithm and its analysis. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF testing, diagnosis, error detection, error correction, hardware overhead
22D. J. Klein, Mark L. Manwaring A Differential Model Approach To Analog Design Automation. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
22Mircea R. Stan, Wayne P. Burleson, Christopher I. Connolly, Roderic A. Grupen Analog VLSI for robot path planning. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
22Howard C. Card, Christian R. Schneider, Roland S. Schneider Learning capacitive weights in analog CMOS neural networks. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
22Eric A. Vittoz Analog VLSI signal processing: Why, where, and how? Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
22Tamal Mukherjee, L. Richard Carley, Rob A. Rutenbar Synthesis of manufacturable analog circuits. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
22Abhijit Chatterjee Concurrent error detection and fault-tolerance in linear analog circuits using continuous checksums. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
22Ji-Chien Lee, Bing J. Sheu, Rama Chellappa A VLSI neuroprocessor for image restoration using analog computing-based systolic architecture. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
22Marwan A. Jabri, Stephen Pickard, Philip H. W. Leong, Y. Xie Algorithmic and implementation issues in analog low power learning neural network chips. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
22Lisa G. Dron The multiscale veto model: A two-stage analog network for edge detection and image reconstruction. Search on Bibsonomy Int. J. Comput. Vis. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
22Michele Favalli, Piero Olivo, Bruno Riccò A probabilistic fault model for 'analog' faults in digital CMOS circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
22Timothy K. Horiuchi, Wyeth Bair, Brooks Bishofberger, Andrew Moore 0002, Christof Koch, John Lazzaro Computing motion using analog VLSI vision chips: An experimental comparison among different approaches. Search on Bibsonomy Int. J. Comput. Vis. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
22Francisco J. Pelayo, Begoña Pino, Alberto Prieto, Julio Ortega 0001, F. J. Fernández CMOS Implementation of Synapse Matrices with Programmable Analog Weights. Search on Bibsonomy IWANN The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
22Michel Verleysen, Paul G. A. Jespers Analog VLSI Synapse Matrix with Enhanced Stochastic Computations. Search on Bibsonomy IWANN The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
22Fathey M. El-Turky, Elizabeth E. Perry BLADES: an artificial intelligence approach to analog circuit design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
22Esa Korhonen, Juha Kostamovaara Memory Optimized Two-Stimuli INL Test Method for DAC-ADC Pairs. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF didital-analog conversion, algorithms, testing, histograms, linearity, analog-digital conversion
22B. K. Mishra 0001, Sandhya Save Novel CAD Design Methodology for Two Stage Opamp with Noise-Power Balance. Search on Bibsonomy ICSAP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Analog circuit designs methodologies, Analog design automation, Op-amps, AMS, Simulated Annealing, CAD, SoC, ASIC, SPICE, EDA tools
22Byoungho Kim, Nash Khouzam, Jacob A. Abraham Efficient Loopback Test for Aperture Jitter in Embedded Mixed-Signal Circuits. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Loopback Test, Aperture Jitter, Digital-to-Analog Converter, Analog-to-Digital Converter, ADC, Mixed-Signal Testing, DAC
22Stephen C. Terry, Mohammad M. Mojarradi, Benjamin J. Blalock, Jesse A. Richmond Adaptive gate biasing: a new solution for body-driven current mirrors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF SOI analog, body driving, current mirrors, ultra-low-voltage analog circuit design
22Jaijeet S. Roychowdhury A time-domain RF steady-state method for closely spaced tones. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF MPDE, RF switching mixers, analog/RF simulation, artificial time scales, difference-frequency time scales, multi-time PDEs, shooting, analog, homotopy, mixed-signal, envelope, continuation methods, harmonic balance
22Andrea Boni, Andrea Pierazzi Yield Enhancement by Multi-level Linear Modeling of Non-Idealities in an Interpolated Flash ADCs. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF BiCMOS analog integrated circuits, Monte Carlo methods, Yield optimization, Analog-digital conversion
22Sudhir Aggarwal An Enhanced Macromodel for a CMOS Operational Amplifier for HDL Implementation. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF VHDL-Analog, Non-linear model, Analog IC's, Operational Amplifier, Macromodel
22C. K. Yuen Negabinary A/D Conversion. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1980 DBLP  DOI  BibTeX  RDF negabinary analog-digital conversion, Analog-digital conversion
20Akitoshi Kawamura Differential recursion. Search on Bibsonomy ACM Trans. Comput. Log. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF differentially algebraic functions, real recursive functions, transcendentally transcendental functions, initial value problems, Analog computation
Displaying result #501 - #600 of 14080 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license