The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for interconnects with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1980-1989 (19) 1990-1991 (15) 1992 (16) 1993-1994 (54) 1995 (28) 1996 (38) 1997 (32) 1998 (30) 1999 (53) 2000 (92) 2001 (101) 2002 (169) 2003 (179) 2004 (184) 2005 (242) 2006 (264) 2007 (233) 2008 (212) 2009 (148) 2010 (100) 2011 (88) 2012 (79) 2013 (98) 2014 (94) 2015 (119) 2016 (98) 2017 (105) 2018 (88) 2019 (97) 2020 (78) 2021 (77) 2022 (57) 2023 (60) 2024 (10)
Publication types (Num. hits)
article(909) book(4) incollection(4) inproceedings(2380) phdthesis(37) proceedings(23)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1863 occurrences of 889 keywords

Results
Found 3357 publication records. Showing 3357 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
30Frank T. Hady, Ron Minnich, Dan Burns, Kevin Smith A memory integrated network interface. Search on Bibsonomy Hot Interconnects The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
30Deog-Kyoon Jeong, David D. Lee, J. Duane Northcutt, Andreas von Bechtolsheim, David R. Ditzel, Amnon Fisher Hotpads - macro-dells for gigabit I/O. Search on Bibsonomy Hot Interconnects The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
30David A. Patterson 0001 A case for NOW (networks-of-workstations). Search on Bibsonomy Hot Interconnects The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
30James C. Hoe, Andy Boughton Network substrate for parallel processing on a workstation cluster. Search on Bibsonomy Hot Interconnects The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
30Chuck Seitz Myrinet-a gigabit-per-second local-area network. Search on Bibsonomy Hot Interconnects The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
29Wei Huang 0003, Jiuxing Liu, Matthew J. Koop, Bülent Abali, Dhabaleswar K. Panda 0001 Nomad: migrating OS-bypass networks in virtual machines. Search on Bibsonomy VEE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF virtual machines, migration, xen, InfiniBand, high speed interconnects
29J. Balachandran, Steven Brebels, Geert Carchon, Maarten Kuijk, Walter De Raedt, Bart Nauwelaers, Eric Beyne Constant impedance scaling paradigm for interconnect synthesis. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF transmission lines, interconnect optimization, global interconnects
29Chen-Huan Chiang, Sandeep K. Gupta 0001 BIST TPG for SRAM cluster interconnect testing at board level. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF SRAM cluster interconnect testing, BIST TPG, static random access memory, board-level interconnects, test pattern generation architecture, IEEE 1149.1 boundary scan architecture, prohibited conditions, testable SRAM cluster interconnect fault detection, logic testing, built-in self test, automatic test pattern generation, test pattern generation, boundary scan testing, integrated circuit interconnections, SRAM chips, printed circuit testing
28Cees T. A. M. de Laat, Chris Develder, Admela Jukan, Joe Mambretti Introduction. Search on Bibsonomy Euro-Par The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
28Yan Lin 0001, Lei He 0001 Dual-Vdd Interconnect With Chip-Level Time Slack Allocation for FPGA Power Reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Jun Chen 0008, Lei He 0001 Modeling and synthesis of multiport transmission line for multichannel communication. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Kenichi Okada, Takumi Uezono, Kazuya Masu Estimation of Power Reduction by On-Chip Transmission Line for 45nm Technology. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Allan Carroll, Carl Ebeling Reducing the Space Complexity of Pipelined Routing Using Modified Range Encoding. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Praveen Ghanta, Sarma B. K. Vrudhula Variational Interconnect Delay Metrics for Statistical Timing Analysis. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Abhinav Vishnu, Prachi Gupta, Amith R. Mamidala, Dhabaleswar K. Panda 0001 Scalable systems software - A software based approach for providing network fault tolerance in clusters with uDAPL interface: MPI level design and performance evaluation. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Haitham S. Hamza, Jitender S. Deogun Design and Analysis of a New Class of WDM Optical Interconnect Architectures. Search on Bibsonomy BROADNETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Lin Zhong 0001, Niraj K. Jha Interconnect-aware low-power high-level synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Li Chen, Xiaoliang Bai, Sujit Dey Testing for Interconnect Crosstalk Defects Using On-Chip Embedded Processor Cores. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF interconnect, crosstalk, processor, self-test
28Wichian Sirisaengtaksin, Sandeep K. Gupta 0001 Enhanced Crosstalk Fault Model and Methodology to Generate Tests for Arbitrary Inter-core Interconnect Topology. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Sung-Mo Kang On-chip thermal engineering for peta-scale integration. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Lin Zhong 0001, Niraj K. Jha Interconnect-aware high-level synthesis for low power. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Li-Rong Zheng 0001, Hannu Tenhunen Noise Margin Constraints for Interconnectivity in Deep Submicron Low Power and Mixed-Signal VLSI Circuits. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Mixed-Signal VLSI, Interconnection, Crosstalk, Noise Margin
27Lin Liu 0004, Yuanyuan Yang 0001 Achieving 100% Throughput in Input-Buffered WDM Optical Packet Interconnects. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF WDM optical packet interconnects, input buffered, 100% throughput, packet scheduling, wavelength conversion
27Balazs Gerofi, Yutaka Ishikawa RDMA Based Replication of Multiprocessor Virtual Machines over High-Performance Interconnects. Search on Bibsonomy CLUSTER The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Fault-Tolerance, Virtualization, Checkpoint, Recovery, Hypervisor, RDMA, High-Performance Interconnects
27Peter M. Kelly, Fergal Tuffy, Valeriu Beiu, Liam McDaid Reduced Interconnects in Neural Networks Using a Time Multiplexed Architecture Based on Quantum Devices. Search on Bibsonomy NanoNet The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Quantum device, resonant tunneling device (RTD), time multiplexed architecture (TMA), neural network (NN), interconnects
27Bo Fu, Paul Ampadu A Dual-Mode Hybrid ARQ Scheme for Energy Efficient On-Chip Interconnects. Search on Bibsonomy NanoNet The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Adaptive error control, interleaving, on-chip interconnects, hybrid ARQ
27Mohammad J. Rashti, Ahmad Afsahi Improving Communication Progress and Overlap in MPI Rendezvous Protocol over RDMA-enabled Interconnects. Search on Bibsonomy HPCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Rendezvous Protocol, Communication Progress, MPI, Overlap, RDMA, High-performance Interconnects
27Rasit Onur Topaloglu Process Variation Characterization and Modeling of Nanoparticle Interconnects for Foldable Electronics. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF foldable electronics, nanoparticle interconnects, process variations
27Ilhan Hatirnaz, Stéphane Badel, Nuria Pazos, Yusuf Leblebici, Srinivasan Murali, David Atienza, Giovanni De Micheli Early wire characterization for predictable network-on-chip global interconnects. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF early wire characterization, design methodology, NoCs, global interconnects
27Noha H. Mahmoud, Maged Ghoneima, Yehea I. Ismail Physical limitations on the bit-rate of on-chip interconnects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF damping factor, delay, interconnects, bit-rate
27Bhaskar Mukherjee, Lei Wang, Andrea Pacelli A practical approach to modeling skin effect in on-chip interconnects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnects, circuit simulation, skin effect
27Pawan Kapur, Gaurav Chandra, Krishna Saraswat Power estimation in global interconnects and its reduction using a novel repeater optimization methodology. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF repeaters, power dissipation, global interconnects
27Andrew B. Kahng, Kei Masuko, Sudhakar Muddu Analytical delay models for VLSI interconnects under ramp input. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF SPICE-computed delay, VLSI routing topologies layout, analytical delay models, arbitrary interconnect trees, interconnect transfer function, performance-driven synthesis, ramp input, source-sink delays, VLSI, Elmore delay, interconnect delays, VLSI interconnects, RLC interconnections
26Qiang Xu 0001, Yubin Zhang, Krishnendu Chakrabarty SOC test-architecture optimization for the testing of embedded cores and signal-integrity faults on core-external interconnects. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Core-based system-on-chip, test scheduling, test-access mechanism (TAM), interconnect testing
26Krishna Saraswat Performance comparison of cu/low-k, carbon nanotube, and optics for on-chip and off-chip interconnects. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF copper, energy per bit, power, latency, bandwidth, optical interconnect, carbon nanotube
26Yariv Aridor, Tamar Domany, Oleg Goldshmidt, Yevgeny Kliteynik, Edi Shmueli, José E. Moreira Multitoroidal Interconnects For Tightly Coupled Supercomputers. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallel architectures, network topology, scheduling and task partitioning
26Byungsub Kim, Vladimir Stojanovic Characterization of Equalized and Repeated Interconnects for NoC Applications. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Christof Teuscher, Anders A. Hansson Non-traditional irregular interconnects for massive scale SoC. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Michael N. Skoufis, Kedar Karmarkar, Themistoklis Haniotakis, Spyros Tragoudas A High-Performance Bus Architecture for Strongly Coupled Interconnects. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF high-speed bus, crosstalk
26Chittarsu Raghunandan, K. S. Sainarayanan, M. B. Srinivas Process Variation Aware Bus-Coding Scheme for Delay Minimization in VLSI Interconnects. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF bus coding, delay, process variation
26Partha Kundu, Li-Shiuan Peh Guest Editors' Introduction: On-Chip Interconnects for Multicores. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded systems, system on chip, network on chip, multicore architectures, on-chip interconnection networks
26Ajay Joshi, Gerald G. Lopez, Jeffrey A. Davis Design and Optimization of On-Chip Interconnects Using Wave-Pipelined Multiplexed Routing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Jason D. Bakos, Donald M. Chiarulli, Steven P. Levitan Lightweight Error Correction Coding for System-Level Interconnects. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Interconnections (subsystems), coding and information theory, error control codes, interconnection architectures, code design, coding tools and techniques
26Manhee Lee, Minseon Ahn, Eun Jung Kim 0001 I2SEMS: Interconnects-Independent Security Enhanced Shared Memory Multiprocessor Systems. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Jun Wang, Ge Zhang 0007, Weiwu Hu An Efficient Error Control Scheme for Chip-to-Chip Optical Interconnects. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Massimo Alioto, Gaetano Palumbo Design of Fast Large Fan-In CMOS Multiplexers Accounting for Interconnects. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Denis Deschacht DSM interconnects: importance of inductance effects and corresponding range of length. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Kanak Agarwal, Dennis Sylvester, David T. Blaauw Modeling and analysis of crosstalk noise in coupled RLC interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Ajoy Kumar Palit, Kishore K. Duganapalli, Walter Anheier Modeling of Crosstalk Fault in Defective Interconnects. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF defect-based-crosstalk fault model, signal integrity losses, aggres-sor-victim, ABCD-model, crosstalk-hazards
26Karthikeyan Vaidyanathan, Sundeep Narravula, Dhabaleswar K. Panda 0001 DDSS: A Low-Overhead Distributed Data Sharing Substrate for Cluster-Based Data-Centers over Modern Interconnects. Search on Bibsonomy HiPC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Kaustav Banerjee, Sheng-Chih Lin, Navin Srivastava Electrothermal engineering in the nanometer era: from devices and interconnects to circuits and systems. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Alejandro Martínez, George Apostolopoulos, Francisco José Alfaro, José L. Sánchez 0002, José Duato QoS Support for Video Transmission in High-Speed Interconnects. Search on Bibsonomy HPCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Clusters, Scheduling, QoS, Virtual Channels, Switch Design
26Ahmed Shebaita, Dusan Petranovic, Yehea I. Ismail Importance of volume discretization of single and coupled interconnects. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Satoshi Komatsu, Masahiro Fujita An optimization of bus interconnects pitch for low-power and reliable bus encoding scheme. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26K. S. Sainarayanan, J. V. R. Ravindra, M. B. Srinivas A novel, coupling driven, low power bus coding technique for minimizing capacitive crosstalk in VLSI interconnects. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26B. Haddadin, Min Ma, T. S. Roseanu, Roni Khazaka Efficient Macromodel for Interconnects Excited by Incident Fields. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Ashok Narasimhan, Bhooma Srinivasaraghavan, Ramalingam Sridhar A Low-Power Asymmetric Source Driver Level Converter Based Current-Mode Signaling Scheme for Global Interconnects. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Min Tang, Jun-Fa Mao Optimization of Global Interconnects in High Performance VLSI Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Guillermo L. Taboada, Juan Touriño, Ramon Doallo Efficient Java Communication Protocols on High-speed Cluster Interconnects. Search on Bibsonomy LCN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Xiaomeng Shi, Jianguo Ma, Kiat Seng Yeo, Manh Anh Do, Erping Li Equivalent circuit model of on-wafer CMOS interconnects for RFICs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Eun Jung Kim 0001, Greg M. Link, Ki Hwan Yum, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Chita R. Das A Holistic Approach to Designing Energy-Efficient Cluster Interconnects. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Buffer design, cluster interconnect, dynamic link shutdown, link design, dynamic voltage scaling, energy optimization, switch design
26Bing Zhong, Tao Hu, Dawei Fu, Steven L. Dvorak, John L. Prince A study of a hybrid phase-pole macromodel for transient simulation of complex interconnects structures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Mehdi Baradaran Tahoori, Subhasish Mitra Application-independent testing of FPGA interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Medha Kulkarni, Tom Chen 0001 A sensitivity-based approach to analyzing signal delay uncertainty of coupled interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Valeriy Sukharev Physically based simulation of electromigration-induced degradation mechanisms in dual-inlaid copper interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Hao Yu 0001, Lei He 0001 A provably passive and cost-efficient model for inductive interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Wichian Sirisaengtaksin, Sandeep K. Gupta 0001 A Methodology to Compute Bounds on Crosstalk Effects in Arbitrary Interconnects. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Rod Fatoohi, Ken Kardys, Sumy Koshy, Soundarya Sivaramakrishnan, Jeffrey S. Vetter Performance Evaluation of High-Speed Interconnects Using Dense Communication Patterns. Search on Bibsonomy ICPP Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Natalie Nakhla, Ramachandra Achar, Michel S. Nakhla Accurate and closed-form SPICE compatible passive macromodels for distributed interconnects with frequency dependent parameters. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Youngsoo Shin, Hyung-Ock Kim Analysis of power consumption in VLSI global interconnects. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Parthasarathi Dasgupta Revisiting VLSI Interconnects in Deep Sub-Micron: Some Open Questions. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Ashok Narasimhan, Manish Kasotiya, Ramalingam Sridhar A Low-Swing Differential Signaling Scheme for On-Chip Global Interconnects. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Mohammad H. Tehranipour, Nisar Ahmed, Mehrdad Nourani Testing SoC interconnects for signal integrity using extended JTAG architecture. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Xiaoliang Bai, Sujit Dey High-level crosstalk defect Simulation methodology for system-on-chip interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Nelson Ou, Touraj Farahmand, Andy Kuo, Sassan Tabatabaei, André Ivanov Jitter Models for the Design and Test of Gbps-Speed Serial Interconnects. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Janet Meiling Wang, Prashant Saxena, Omar Hafiz, Xing Wang Realizable parasitic reduction for distributed interconnects using matrix pencil technique. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Manhee Lee, Eun Jung Kim 0001, Cheol Won Lee A Source Identification Scheme against DDoS Attacks in Cluster Interconnects. Search on Bibsonomy ICPP Workshops The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Vishak Venkatraman, Atul Maheshwari, Wayne P. Burleson Mitigating static power in current-sensed interconnects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnect circuits, static power, self-timed systems
26Syed M. Alam, Chee Lip Gan, Carl V. Thompson, Donald E. Troxel Circuit Level Reliability Analysis of Cu Interconnects. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26John W. Lockwood Guest Editor's Introduction: Hot Interconnects 10--Thinking beyond the Internet. Search on Bibsonomy IEEE Micro The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Rizwan Bashirullah, Wentai Liu, Ralph K. Cavin III Current-mode signaling in deep submicrometer global interconnects. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Razak Hossain, Fabrizio Viglione, Marco Cavalli Designing fast on-chip interconnects for deep submicrometer technologies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Pasquale Cocchini A methodology for optimal repeater insertion in pipelined interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Gregorio Cappuccino Operating Region Modelling of Deep-submicron CMOS Buffers Driving Global Scope Inductive Interconnects. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Christian Kurmann, Felix Rauch, Thomas Stricker Cost/Performance Tradeoffs in Network Interconnects for Clusters of Commodity PCs. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Clusters of commodity PCs, switch performance, full bisection bandwidth, Ethernet, Myrinet, all-to-all communication, application performance
26Rizwan Bashirullah, Wentai Liu, Ralph K. Cavin III Accurate delay model and experimental verification for current/voltage mode on-chip interconnects. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Yoshihiro Yamagami, Yoshifumi Nishio, Atsumi Hattori, Akio Ushida A reduction technique of large scale RCG interconnects in complex frequency domain. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Guoan Zhong, Cheng-Kok Koh Exact Closed Form Formula for Partial Mutual Inductances of On-Chip Interconnects. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Qinwei Xu, Pinaki Mazumder, Li Ding 0002 Novel macromodeling for on-chip RC/RLC interconnects. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Falah R. Awwad, Mohamed Nekili Variable-segment & variable-driver parallel regeneration techniques for RLC VLSI interconnects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF parallel regeneration, VLSI, repeater, RLC interconnect
26Jiang Brandon Liu, Andreas G. Veneris, Hiroshi Takahashi Incremental Diagnosis of Multiple Open-Interconnects. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Qinwei Xu, Pinaki Mazumder Rational ABCD Modeling of High-Speed Interconnects. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26P. K. Datta, S. Sanyal, D. Bhattacharya Losses in Multilevel Crossover in VLSI Interconnects. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Youxin Gao, D. F. Wong 0001 A fast and accurate delay estimation method for buffered interconnects. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Payman Zarkesh-Ha, Jeffrey A. Davis, James D. Meindl Prediction of net-length distribution for global interconnects in a heterogeneous system-on-a-chip. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Tong Liu 0007, Xiao-Tao Chen, Fred J. Meyer, Fabrizio Lombardi Test generation and scheduling for layout-based detection of bridge faults in interconnects. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Arani Sinha, Sandeep K. Gupta 0001, Melvin A. Breuer Validation and test generation for oscillatory noise in VLSI interconnects. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Satrajit Gupta, Lalit M. Patnaik Exact Output Response Computation of RC Interconnects under Polynomial Input Waveforms. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Ramachandra Achar, Michel S. Nakhla, Qi-Jun Zhang Full-wave analysis of high-speed interconnects using complex frequency hopping. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Wu-Tung Cheng, James L. Lewandowski, Eleanor Wu Optimal diagnostic methods for wiring interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 3357 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license