The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for transistor with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1949-1957 (21) 1958-1959 (15) 1960-1966 (15) 1967-1984 (19) 1985-1986 (22) 1987-1988 (46) 1989 (31) 1990 (38) 1991 (32) 1992 (30) 1993 (32) 1994 (45) 1995 (67) 1996 (56) 1997 (64) 1998 (88) 1999 (131) 2000 (108) 2001 (136) 2002 (162) 2003 (183) 2004 (179) 2005 (243) 2006 (281) 2007 (271) 2008 (267) 2009 (143) 2010 (92) 2011 (92) 2012 (98) 2013 (88) 2014 (101) 2015 (105) 2016 (108) 2017 (125) 2018 (127) 2019 (121) 2020 (116) 2021 (138) 2022 (109) 2023 (140) 2024 (28)
Publication types (Num. hits)
article(1480) book(1) data(2) incollection(5) inproceedings(2780) phdthesis(45)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1578 occurrences of 818 keywords

Results
Found 4313 publication records. Showing 4313 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Subhomoy Chattopadhyay Low power design techniques for nanometer design processes: 65 nm and smaller. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 65 nm, low power, embedded design
12Jeegar Tilak Shah, Marius Evers, Jeff Trull, Alper Halbutogullari Circuit optimization for leakage power reduction using multi-threshold voltages for high performance microprocessors. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multi-VTH, optimization, timing, low-power design, microprocessor, EDA, leakage power, sizing
12Zhiyu Liu, Volkan Kursun High Read Stability and Low Leakage Cache Memory Cell. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Bernabé Linares-Barranco, Teresa Serrano-Gotarredona A Physical Interpretation of the Distance Term in Pelgrom's Mismatch Model results in very Efficient CAD. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Jaume Abella 0001, Xavier Vera, Osman S. Unsal, Oguz Ergin, Antonio González 0001 Fuse: A Technique to Anticipate Failures due to Degradation in ALUs. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Senthil Kumar Lakshmanan, Peter Tawdross, Andreas König 0001 Towards Generic On-the-Fly Reconfigurable Sensor Electronics for Embedded System- First Measurement Results of Reconfigurable Folded. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Subhomoy Chattopadhyay, Rakesh Patel Tutorial T3: Low Power Design Techniques for Nanometer Design Processes - 65nm and Smaller. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Kiran Puttaswamy, Gabriel H. Loh Scalability of 3D-Integrated Arithmetic Units in High-Performance Microprocessors. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Ritu Singhal, Asha Balijepalli, Anupama R. Subramaniam, Frank Liu 0001, Sani R. Nassif, Yu Cao 0001 Modeling and Analysis of Non-Rectangular Gate for Post-Lithography Circuit Simulation. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Robert J. Bonneau, George O. Ramseyer, Tom Renz, Claire Thiem A Mathematical Architecture for Molecular Computing. Search on Bibsonomy AIPR The full citation details ... 2007 DBLP  DOI  BibTeX  RDF molecular computing
12Ilya Obridko, Ran Ginosar Minimal Energy Asynchronous Dynamic Adders. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Yu-Shen Yang, Andreas G. Veneris, Paul J. Thadikaran, Srikanth Venkataraman Extraction error modeling and automated model debugging in high-performance custom designs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Eric W. MacDonald, Nur A. Touba Delay testing of partially depleted silicon-on-insulator (PD-SOI) circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Junjun Li, Sopan Joshi, Ryan Barnes, Elyse Rosenbaum Compact modeling of on-chip ESD protection devices using Verilog-A. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Imad A. Ferzli, Farid N. Najm Analysis and verification of power grids considering process-induced leakage-current variations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Nian Zhang, Donald C. Wunsch II Speeding up VLSI Layout Verification Using Fuzzy Attributed Graphs Approach. Search on Bibsonomy IEEE Trans. Fuzzy Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Dmitri B. Strukov, Konstantin K. Likharev A reconfigurable architecture for hybrid CMOS/Nanodevice circuits. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF integrated hybrid circuits, architecture, programmable logic, nanoelectronics, programmable interconnect
12Mingjie Lin, Abbas El Gamal, Yi-Chang Lu, S. Simon Wong Performance benefits of monolithically stacked 3D-FPGA. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF 3D monolithically stacked, FPGA, performance analysis
12Kypros Constantinides, Stephen Plaza, Jason A. Blome, Bin Zhang 0011, Valeria Bertacco, Scott A. Mahlke, Todd M. Austin, Michael Orshansky BulletProof: a defect-tolerant CMP switch architecture. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Yavuz Cengiz, Hüseyin Göksu, Filiz Günes Design of a Broadband Microwave Amplifier Using Neural Performance Data Sheets and Very Fast Simulated Reannealing. Search on Bibsonomy ISNN (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Elias Kougianos, Saraju P. Mohanty Effective tunneling capacitance: a new metric to quantify transient gate leakage current. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Erhan Ozalevli, Paul E. Hasler A tunable floating gate CMOS resistor for low-power and low-voltage applications. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Jin-Fa Lin, Yin-Tsung Hwang, Ming-Hwa Sheu, Cheng-Che Ho A high speed and energy efficient full adder design using complementary & level restoring carry logic. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Alexandre J. Aragão, João Navarro Jr., Wilhelmus A. M. Van Noije Mismatch effect analyses in CMOS tapered buffers. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12David G. Haigh Analytic approach to or transformations for FET circuit synthesis. Part I. Nullator-norator tree transformations. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Zheng Yang 0004, Viktor Gruev, Jan Van der Spiegel A CMOS linear voltage/current dual-mode imager. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Magdy S. Abadir Floorplanning and Thermal Impact on Leakage Power and Proper Operation of Complex SOC Designs. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Zhiyu Liu, Volkan Kursun Leakage current starved domino logic. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF gate oxide tunneling, sleep mode, domino logic, subthreshold leakage current, dual threshold voltage
12Victor Moroz, Lee Smith, Xi-Wei Lin, Dipu Pramanik, Greg Rollins Stress-Aware Design Methodology. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Chung-Kuan Tsai, Malgorzata Marek-Sadowska Analysis of Process Variation's Effect on SRAM's Read Stability. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Hayssam El-Razouk, Zine Abid Area and Power Efficient Array and Tree Multipliers. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Yunfeng Peng, Derui Kong, Feng Zhou A Low-Voltage Sampling Switch with Improved Linearity. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Fang Liu 0029, Plamen K. Nikolov, Sule Ozev Parametric Fault Diagnosis for Analog Circuits Using a Bayesian Framework. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Himanshu Thapliyal, Anvesh Ramasahayam, Vivek Reddy Kotha, Kunul Gottimukkula, M. B. Srinivas Modified Montgomery Modular Multiplication Using 4: 2 Compressor and CSA Adder. Search on Bibsonomy DELTA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Masanori Hariyama, Michitaka Kameyama A Multi-Context FPGA Using a Floating-Gate-MOS Functional Pass-Gate and Its CAD Environment. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Kwang-Jow Gan, Dong-Shong Liang, Cher-Shiung Tsai, Yaw-Hwang Chen, Chun-Ming Wen Five-State Logic Using MOS-HBT-NDR Circuit by Standard SiGe BiCMOS Process. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Saurabh Singh, K. Radhakrishna Rao Low Voltage Analogue Multiplier. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12De-Shiuan Chiou, Shih-Hsin Chen, Shih-Chieh Chang, Chingwei Yeh Timing driven power gating. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF leakage current, power gating, IR drop
12Anup Kumar Sultania, Dennis Sylvester, Sachin S. Sapatnekar Gate oxide leakage and delay tradeoffs for dual-Tox circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Shrirang K. Karandikar, Sachin S. Sapatnekar Fast comparisons of circuit implementations. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Qikai Chen, Hamid Mahmoodi-Meimand, Swarup Bhunia, Kaushik Roy 0001 Efficient testing of SRAM with optimized march sequences and a novel DFT technique for emerging failures due to process variations. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Chip-Hong Chang, Jiangmin Gu, Mingyan Zhang A review of 0.18-μm full adder performances for tree structured arithmetic circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Saibal Mukhopadhyay, Arijit Raychowdhury, Kaushik Roy 0001 Accurate estimation of total leakage in nanometer-scale bulk CMOS circuits based on device geometry and doping profile. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Flavio Carbognani, Felix Bürgin, Norbert Felber, Hubert Kaeslin, Wolfgang Fichtner Two-Phase Clocking and a New Latch Design for Low-Power Portable Applications. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Tezaswi Raja, Vishwani D. Agrawal, Michael L. Bushnell Design of Variable Input Delay Gates for Low Dynamic Power Circuits. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Minoru Watanabe, Fuminori Kobayashi An Improved Dynamic Optically Reconfigurable Gate Array. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Kunhyuk Kang, Bipul Chandra Paul, Kaushik Roy 0001 Statistical Timing Analysis using Levelized Covariance Propagation. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Takeshi Kitahara, Naoyuki Kawabe, Fumihiro Minami, Katsuhiro Seta, Toshiyuki Furusawa Area-Efficient Selective Multi-Threshold CMOS Design Methodology for Standby Leakage Power Reduction. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Abdulkadir Utku Diril, Yuvraj Singh Dhillon, Abhijit Chatterjee, Adit D. Singh Low-power domino circuits using NMOS pull-up on off-critical paths. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Shengqi Yang, Wayne H. Wolf, Wenping Wang, Narayanan Vijaykrishnan, Yuan Xie 0001 Low-leakage robust SRAM cell design for sub-100nm technologies. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Leonardo Barboni, Rafaella Fiorelli Design and power optimization of CMOS RF blocks operating in the moderate inversion region. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF amplifier design, radio frequency integrated circuits, CMOS integrated circuits, power optimization
12Paul L. Jespers A design methodology for analogue CMOS circuits. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Youngmoon Choi, Earl E. Swartzlander Jr. Parallel Prefix Adder Design with Matrix Representation. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Dennis Buss Technology and design challenges for mobile communication and computing products. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Zheng Guo, Sriram Balasubramanian, Radu Zlatanovici, Tsu-Jae King 0001, Borivoje Nikolic FinFET-based SRAM design. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF double gate transistors, low power, memory, SRAM
12Stephan Henzler, Thomas Nirschl, Matthias Eireiner, Ettore Amirante, Doris Schmitt-Landsiedel Making adiabatic circuits attractive for todays VLSI industry by multi-mode operation-adiabatic mode circuits. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF adiabatic logic, adiabatic mode logic, cross coupled domino, dynamic power reduction, low-power design styles
12Antti Heiskanen, Timo Rahkonen Comparison of two class E amplifiers for EER transmitter. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12David W. Graham, Ethan Farquhar, Brian P. Degnan, Christal Gordon, Paul E. Hasler Indirect programming of floating-gate transistors. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Gerard Villar, Eduard Alarcón, Jordi Madrenas, Francesc Guinjoan, Alberto Poveda Energy optimization of tapered buffers for CMOS on-chip switching power converters. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Vadim Ivanov, Igor M. Filanovsky A 110 dB CMRR/PSRR/gain CMOS operational amplifier. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Cristiano Santos, Daniel Lima Ferrão, Ricardo Reis 0001, José Luís Güntzel Incremental timing optimization for automatic layout generation. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Daniel Fernández, Gerard Villar, Eva Vidal, Eduard Alarcón, Jordi Cosp, Jordi Madrenas Mismatch-tolerant CMOS oscillator and excitatory synapse for bioinspired image segmentation. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Martin Omaña 0001, O. Losco, Cecilia Metra, Andrea Pagni On the Selection of Unidirectional Error Detecting Codes for Self-Checking Circuits' Area Overhead and Performance Optimization. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Amir Fijany, Farrokh Vatan, Mohammad M. Mojarradi, Nikzad Benny Toomarian, Benjamin J. Blalock, Kerem Akarvardar, Sorin Cristoloveanu, Pierre Gentil The G4-FET: a universal and programmable logic gate. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF G4-FET, programmable gate, universal logic gate, full adder
12Farshad Moradi, Hamid Mahmoodi-Meimand, Ali Peiravi A high speed and leakage-tolerant domino logic for high fan-in gates. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF high speed, noise immunity, deep submicron, fan-in, domino
12Cory Jung, Mohammad Hadi Izadi, Michelle L. La Haye Noise Analysis of Fault Tolerant Active Pixel Sensors. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Yuanzhong (Paul) Zhou, Duane Connerney, Ronald Carroll, Timwah Luk Modeling MOS Snapback for Circuit-Level ESD Simulation Using BSIM3 and VBIC Models. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Mattan Erez, Nuwan Jayasena, Timothy J. Knight, William J. Dally Fault Tolerance Techniques for the Merrimac Streaming Supercomputer. Search on Bibsonomy SC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Ramaprasath Vilangudipitchai, Poras T. Balsara Power Switch Network Design for MTCMOS. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Sergei P. Skorobogatov Data Remanence in Flash Memory Devices. Search on Bibsonomy CHES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Hiran Tennakoon, Carl Sechen Efficient and accurate gate sizing with piecewise convex delay models. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF piecewise convex, optimization, Lagrangian relaxation, gate sizing, delay modeling
12Akihito Sakanaka, Seiichirou Fujii, Toshinori Sato A leakage-energy-reduction technique for highly-associative caches in embedded systems. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cache memories, embedded processors, leakage current
12Saul Rosen Recollections of the Philco Transac S-2000. Search on Bibsonomy IEEE Ann. Hist. Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Arifur Rahman, Vijay Polavarapuv Evaluation of low-leakage design techniques for field programmable gate arrays. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, leakage power, multiplexer
12Hidenori Sato, Toshinori Sato A static and dynamic energy reduction technique for I-cache and BTB in embedded processors. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Binu K. Mathew, Al Davis A loop accelerator for low power embedded VLIW processors. Search on Bibsonomy CODES+ISSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF embedded systems, low power design, VLIW
12Kalle Ruttik BER for CMOS Analog Decoder with Different Working Points. Search on Bibsonomy ICT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Alexandre Schmid, Yusuf Leblebici Robust and fault-tolerant circuit design for nanometer-scale devices and single-electron transistors. Search on Bibsonomy ISCAS (3) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Seiichiro Fujii, Toshinori Sato Non-uniform Set-Associative Caches for Power-Aware Embedded Processors. Search on Bibsonomy EUC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Amir Amirabadi, Javid Jaffari, Ali Afzali-Kusha, Mehrdad Nourani, Ali Khaki-Firooz Leakage current reduction by new technique in standby mode. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF subthreshold current, low power, leakage current, digital integrated circuits, static power
12Rohini Krishnan, José Pineda de Gyvez, Martijn T. Bennebroek Low energy FPGA interconnect design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, low power, interconnect, encoding
12Jörg Langeheine, Karlheinz Meier, Johannes Schemmel, Martin Trefzer Intrinsic Evolution of Digital-to-Analog Converters Using a CMOS FPTA Chip. Search on Bibsonomy Evolvable Hardware The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Rohini Krishnan, José Pineda de Gyvez Low Energy Switch Block For FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Arijit Raychowdhury, Saibal Mukhopadhyay, Kaushik Roy 0001 Modeling and Estimation of Leakage in Sub-90nm Devices. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Volkan Kursun, Eby G. Friedman Domino logic with variable threshold voltage keeper. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Li Ding 0002, Pinaki Mazumder Simultaneous switching noise analysis using application specific device modeling. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Ali Keshavarzi, Kaushik Roy 0001, Charles F. Hawkins, Vivek De Multiple-parameter CMOS IC testing with increased sensitivity for IDDQ. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Andrew E. Caldwell, Andrew B. Kahng, Igor L. Markov Hierarchical whitespace allocation in top-down placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Stephen H. Unger Reducing Power Dissipation, Delay, and Area in Logic Circuits by Narrowing Transistors. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Akihito Sakanaka, Toshinori Sato Reducing Static Energy of Cache Memories via Prediction-Table-Less Way Prediction. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Makram M. Mansour, Mohammad M. Mansour, Amit Mehrotra Modified Sakurai-Newton Current Model and its Applications to CMOS Digital Circuit Design. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Alessandro Girardi, Sergio Bampi LIT - An Automatic Layout Generation Tool for Trapezoidal Association of Transistors for Basic Analog Building Blocks. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Alessandro Girardi, Fernando da Rocha Paixão Cortes, Eric E. Fabris, Sergio Bampi Analog IC Modules Design Using Trapezoidal Association of MOS Transistors in 0.35µm Technology. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Stanislav Sedukhin, Mostafa I. Soliman Trident: Technology-Scalable Architecture for Data Parallel Application. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF scalar/vector/matrix processing, data parallel applications, scalable architecture, BLAS
12Snorre Aunet, Morten Hartmann Real-Time Reconfigurable Linear Threshold Elements and Some Applications to Neural Hardware. Search on Bibsonomy ICES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Ricardo Salem Zebulum, Adrian Stoica, Didier Keymeulen, Michael I. Ferguson, Vu Duong, Xin Guo 0002, Vatche Vorperian Automatic Evolution of Signal Separators Using Reconfigurable Hardware. Search on Bibsonomy ICES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Patricia Giacomelli, Márcio C. Schneider, Carlos Galup-Montoro MOSVIEW: A Graphical Tool for MOS Analog Design. Search on Bibsonomy MSE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Mohan G. Kabadi, Ranjani Parthasarathi Live-Cache: Exploiting Data Redundancy to Reduce Leakage Energy in a Cache Subsystem. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Abhishek Singh 0001, Jitin Tharian, Jim Plusquellic Path Delay Estimation using Power Supply Transient Signals: A Comparative Study using Fourier and Wavelet Analysis. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Lei Yang 0019, C.-J. Richard Shi FROSTY: A Fast Hierarchy Extractor for Industrial CMOS Circuits. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 4313 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license