The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase D-latch (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1974-1989 (15) 1990-1993 (16) 1994-1995 (21) 1996-1997 (20) 1998-1999 (32) 2000 (16) 2001 (19) 2002 (28) 2003 (34) 2004 (32) 2005 (36) 2006 (44) 2007 (40) 2008 (31) 2009 (29) 2010 (25) 2011 (26) 2012 (22) 2013 (23) 2014 (18) 2015 (24) 2016 (29) 2017 (32) 2018 (42) 2019 (34) 2020 (34) 2021 (34) 2022 (35) 2023 (52) 2024 (16)
Publication types (Num. hits)
article(312) incollection(1) inproceedings(546)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 382 occurrences of 260 keywords

Results
Found 861 publication records. Showing 859 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Bing Li 0005, Ning Chen 0006, Ulf Schlichtmann Fast statistical timing analysis of latch-controlled circuits for arbitrary clock periods. Search on Bibsonomy ICCAD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Shohreh Sharif Mansouri, Elena Dubrova Pulse latch based FSRs for low-overhead hardware implementation of cryptographic algorithms. Search on Bibsonomy ICCD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Eduardo Luis Rhod, Luca Sterpone, Luigi Carro A New Soft-Error Resilient Voltage-Mode Quaternary Latch. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Kazuteru Namba, Masatoshi Sakata, Hideo Ito Single Event Induced Double Node Upset Tolerant Latch. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Kun Young Chung, Sandeep K. Gupta 0001 Design and test of latch-based circuits to maximize performance, yield, and delay test quality. Search on Bibsonomy ITC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Fan Yang 0060, Sreejit Chakravarty Testing of latch based embedded arrays using scan tests. Search on Bibsonomy ITC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Keisuke Inoue, Mineo Kaneko Optimal register assignment with minimum-delay compensation for latch-based design. Search on Bibsonomy APCCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Khosrov Dabbagh-Sadeghipour A new offset cancelled latch comparator for high-speed, low-power ADCs. Search on Bibsonomy APCCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Xin-Ru Lee, Hsie-Chia Chang, Chen-Yi Lee A low-power radix-4 Viterbi decoder based on DCVSPG pulsed latch with sharing technique. Search on Bibsonomy APCCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Massimo Pozzoni, Simone Erba, Paolo Viola, Matteo Pisati, Emanuele Depaoli, Davide Sanzogni, Riccardo Brama, Daniele Baldi, Matteo Repossi, Francesco Svelto A Multi-Standard 1.5 to 10 Gb/s Latch-Based 3-Tap DFE Receiver With a SSC Tolerant CDR for Serial Backplane Communication. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Tetsuo Endoh, Masashi Kamiyanagi Novel Concept Dynamic Feedback MCML Technique for High-Speed and High-Gain MCML Type Latch. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Mahdi Fazeli, Seyed Ghassem Miremadi, Alireza Ejlali, Ahmad Patooghy Low energy single event upset/single event transient-tolerant latch for deep subMicron technologies. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Chen-Hsuan Lin, Chun-Yao Wang, Yung-Chih Chen Dependent-Latch Identification in Reachable State Space. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Evan Mills, Kevin Truong Cascading signaling pathways improve the fidelity of a stochastically and deterministically simulated molecular RS latch. Search on Bibsonomy BMC Syst. Biol. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Su-Jin Park, Yonggu Kang, Joung-Yeal Kim, Tae Hee Han, Young-Hyun Jun, Chil-Gee Lee, Bai-Sun Kong CMOS cross-coupled charge pump with improved latch-up immunity. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Hossein Karimiyan Alidash, Vojin G. Oklobdzija Low-Power Soft Error Hardened Latch. Search on Bibsonomy PATMOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Seungwhun Paik, Insup Shin, Youngsoo Shin HLS-l: High-level synthesis of high performance latch-based circuits. Search on Bibsonomy DATE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Seonggwan Lee, Seungwhun Paik, Youngsoo Shin Retiming and time borrowing: Optimizing high-performance pulsed-latch-based circuits. Search on Bibsonomy ICCAD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Bernhard Goll, Horst Zimmermann A 65nm CMOS comparator with modified latch to achieve 7GHz/1.3mW at 1.2V and 700MHz/47µW at 0.6V. Search on Bibsonomy ISSCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Martin Omaña 0001, Daniele Rossi 0001, Cecilia Metra Novel High Speed Robust Latch. Search on Bibsonomy DFT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Kun Young Chung, Sandeep K. Gupta 0001 Efficient Scheduling of Path Delay Tests for Latch-Based Circuits. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Visvesh S. Sathe 0001, Jerry C. Kao, Marios C. Papaefthymiou Resonant-Clock Latch-Based Design. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Girish Venkataramani, Tiberiu Chelcea, Seth Copen Goldstein Heterogeneous Latch-Based Asynchronous Pipelines. Search on Bibsonomy ASYNC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Graham M. Birtwistle, Kenneth S. Stevens The Family of 4-phase Latch Protocols. Search on Bibsonomy ASYNC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Yngvar Berg, Omid Mirmotahari, Snorre Aunet High speed and ultra low voltage CMOS latch. Search on Bibsonomy ICECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Zhengfeng Huang, Huaguo Liang A New Radiation Hardened by Design Latch for Ultra-Deep-Sub-Micron Technologies. Search on Bibsonomy IOLTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Yuichi Nakamura 0002 A design method for skew tolerant latch design. Search on Bibsonomy APCCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Wen-Yi Chen, Ming-Dou Ker, Yeh-Jen Huang, Yeh-Ning Jou, Geeng-Lih Lin Measurement on snapback holding voltage of high-voltage LDMOS for latch-up consideration. Search on Bibsonomy APCCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Kyung Tae Do, Young Hwan Kim, Haeng Seon Son Timing modeling of latch-controlled sub-systems. Search on Bibsonomy Integr. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Inhwa Jung, Moo-young Kim, Chulwoo Kim Sptpl: a New Pulsed Latch Type Flip-Flop in High-Performance System-on-a-Chip (SOC). Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Venkatesh Acharya, T. Lakshmi Viswanathan, Thayamkulangara R. Viswanathan CMOS Latch Using Quad for High-Speed Comparators. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Anatol Ursu Latch Inference for Equivalence Checking. Search on Bibsonomy ReCoSoC The full citation details ... 2007 DBLP  BibTeX  RDF
16Tamer Mohamed, Graham A. Jullien, Wael M. Badawy Crossbar latch-based combinational and sequential logic for nano FPGA. Search on Bibsonomy NANOARCH The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Daniël Schinkel, Eisse Mensink, Eric A. M. Klumperink, Ed van Tuijl, Bram Nauta A Double-Tail Latch-Type Voltage Sense Amplifier with 18ps Setup+Hold Time. Search on Bibsonomy ISSCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16K. T. Gardiner, Alexandre Yakovlev, Alexandre V. Bystrov A C-element Latch Scheme with Increased Transient Fault Tolerance for Asynchronous Circuits. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Takashi Ikeda, Kazuteru Namba, Hideo Ito Soft Error Hardened Latch Scheme for Enhanced Scan Based Delay Fault Testing. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Shinzo Koyama, Yoshihisa Kato, Takayoshi Yamada, Yasuhiro Shimada Fast Pulse Driving of Ferroelectric SBT Capacitors in a Nonvolatile Latch. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Yoshihide Komatsu, Yukio Arima, Koichiro Ishibashi Soft Error Hardened Latch Scheme with Forward Body Bias in a 90-nm Technology and Beyond. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Michael Heer, Viktor Dubec, Sergey Bychikhin, Dionyz Pogany, Erich Gornik, M. Frank, A. Konrad, J. Schulz Analysis of triggering behaviour of high voltage CMOS LDMOS clamps and SCRs during ESD induced latch-up. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Detlef Bonfert, Horst A. Gieser, Heinrich Wolf, M. Frank, A. Konrad, J. Schulz Transient-induced latch-up test setup for wafer-level and package-level. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Krzysztof Domanski, B. Póltorak, S. Bargstädt-Franke, Wolfgang Stadler, Waclaw Bala Physical fundamentals of external transient latch-up and corrective actions. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Amin Nikoozadeh, Boris Murmann An Analysis of Latch Comparator Offset Due to Load Capacitor Mismatch. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Man Chung Hon Spec-based flip-flop and latch repeater planning. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Shunsuke Okura, Tetsuro Okura, Kenji Taniguchi 0001, Hajime Shibata Frequency Response Analysis of Latch Utilized in High-Speed Comparator. Search on Bibsonomy ICECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Fernando Paixão Cortes, Alessandro Girardi, Sergio Bampi Track-and-Latch Comparator Design Using Associations of MOS Transistors and Characterization. Search on Bibsonomy ICECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Wei-Li Su, Herming Chiueh A Low Power Pulsed Edge-Triggered Latch for Survivor Memory Unit of Viterbi Decoder. Search on Bibsonomy ICECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Jingye Xu, Masud H. Chowdhury Bit Error Rate Analysis for Flip-flop and Latch Based Interconnect Pipelining. Search on Bibsonomy ICECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Yoichi Sasaki 0001, Kazuteru Namba, Hideo Ito Soft Error Masking Circuit and Latch Using Schmitt Trigger Circuit. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16David E. Lackey Efficient Latch and Clock Structures for System-on-Chip Test Flexibility. Search on Bibsonomy ITC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Yasushi Amamiya, Zin Yamazaki, Yasuyuki Suzuki, Masayuki Mamada, Hikaru Hida Low supply voltage operation of over-40-Gb/s digital ICs based on parallel-current-switching latch circuitry. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Hiroki Fujisawa, Masayuki Nakamura, Yasuhiro Takai, Yasuji Koshikawa, Tatsuya Matano, Seiji Narui, Narikazu Usuki, Chiaki Dono, Shinichi Miyatake, Makoto Morino, Koji Arai, Shuichi Kubouchi, Isamu Fujii, Hideyuki Yoko, Takao Adachi 1.8-V 800-Mb/s/pin DDR2 and 2.5-V 400-Mb/s/pin DDR1 compatibly designed 1Gb SDRAM with dual-clock input-latch scheme and hybrid multi-oxide output buffer. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Massimo Alioto, Gaetano Palumbo Power-delay optimization of D-latch/MUX source coupled logic gates. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Ravi K. Kummamuru, Mo Liu 0005, Alexei O. Orlov, Craig S. Lent, Gary H. Bernstein, Gregory L. Snider Temperature dependence of the locked mode in a single-electron latch. Search on Bibsonomy Microelectron. J. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16S. Bargstädt-Franke, Wolfgang Stadler, Kai Esmark, Martin Streibl, Krzysztof Domanski, Horst A. Gieser, Heinrich Wolf, Waclaw Bala Transient latch-up: experimental analysis and device simulation. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Gianluca Boselli, Charvaka Duvvury Trends and challenges to ESD and Latch-up designs for nanometer CMOS technologies. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Himyanshu Anand, Jayanta Bhadra, Alper Sen 0001, Magdy S. Abadir, Kenneth G. Davis Establishing latch correspondence for embedded circuits of PowerPC microprocessors. Search on Bibsonomy HLDVT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Chuan Lin 0002, Hai Zhou 0001 Trade-off between latch and flop for min-period sequential circuit designs with crosstalk. Search on Bibsonomy ICCAD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Moo-young Kim, Inhwa Jung, Young-Ho Kwak, Sunghoon Ahn, Chulwoo Kim Differential Pass Transistor Pulsed Latch. Search on Bibsonomy SoCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Bernhard Wicht, Thomas Nirschl, Doris Schmitt-Landsiedel Yield and speed optimization of a latch-type voltage sense amplifier. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16David Trémouilles, Marise Bafleur, Géraldine Bertrand, Nicolas Nolhier, Nicolas Mauran, Lionel Lescouzères Latch-up ring design guidelines to improve electrostatic discharge (ESD) protection scheme efficiency. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Peter Hazucha, Tanay Karnik, Steven Walstra, Bradley A. Bloechel, James W. Tschanz, Jose Maiz, Krishnamurthy Soumyanath, Gregory E. Dermer, Siva G. Narendra, Vivek De, Shekhar Borkar Measurements and analysis of SER-tolerant latch in a 90-nm dual-VT CMOS process. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Yoshihide Komatsu, Yukio Arima, Tetsuya Fujimoto, Takahiro Yamashita, Koichiro Ishibashi A soft-error hardened latch scheme for SoC in a 90 nm technology and beyond. Search on Bibsonomy CICC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Hiroshi Inokawa, Yasuo Takahashi, Katsuhiko Degawa, Takafumi Aoki, Tatsuo Higuchi 0001 A Single-Electron-Transistor Logic Gate Family and Its Application - Part II: Design and Simulation of a 7-3 Parallel Counter with Linear Summation and Multiple-Valued Latch Functions. Search on Bibsonomy ISMVL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Massimo Alioto, Rosario Mita, Gaetano Palumbo Performance evaluation of the low-voltage CML D-latch topology. Search on Bibsonomy Integr. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Amit M. Sheth, Jacob Savir Single-clock, single-latch, scan design. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Vladislav A. Vashchenko, Ann Concannon, Marcel ter Beek, P. Hopper LVTSCR structures for latch-up free ESD protection of BiCMOS RF circuits. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Markus P. J. Mergens, Christian C. Russ, Koen G. Verhaege, John Armer, Phillip Jozwiak, Russ Mohn High holding current SCRs (HHI-SCR) for ESD protection and latch-up immune IC operation. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Bernhard Wicht, Thomas Nirschl, Doris Schmitt-Landsiedel A yield-optimized latch-type SRAM sense amplifier. Search on Bibsonomy ESSCIRC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Peter Hazucha, Tanay Kamik, Steven Walstra, Bradley A. Bloechel, James W. Tschanz, Jose Maiz, Krishnamurthy Soumyanath, Greg Dermer, Siva G. Narendra, Vivek De, Shekhar Borkar Measurements and analysis of SER tolerant latch in a 90 nm dual-Vt CMOS process. Search on Bibsonomy CICC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Mun-Kyu Choi, Byung-Gil Jeon, Nakwon Jang, Byung-Jun Min, Yoon-Jong Song, Sung-Yung Lee, Hyun-Ho Kim, Dong-Jin Jung, Heung-Jin Joo, Kinam Kim A 0.25-μm 3.0-V 1T1C 32-Mb nonvolatile ferroelectric RAM with address transition detector and current forcing latch sense amplifier scheme. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Mamidala Jagadesh Kumar, Vikram Verma Elimination of bipolar induced drain breakdown and single transistor latch in submicron PD SOI MOSFET. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Robert C. Chang, L.-C. Hsu, M.-C. Sun A Low-Power and High-Speed D Flip-Flop Using a Single Latch. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Bradley J. Rhodes, Daniel Bullock A Scalable Model of Cerebellar Adaptive Timing and Sequencing: The Recurrent Slide and Latch (RSL) Model. Search on Bibsonomy Appl. Intell. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Massimo Alioto, Rosario Mita, Gaetano Palumbo Analysis and comparison of low-voltage CML D-latch. Search on Bibsonomy ICECS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Ey Goo Kang, Seung Hyun Moon, Man Young Sung A small sized lateral trench electrode IGBT having improved latch-up and breakdown characteristics for power IC system. Search on Bibsonomy ICECS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Troy A. Johnson, Ivan S. Kourtev A single latch, high speed double-edge triggered flip-flop (DETFF). Search on Bibsonomy ICECS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Amit Singh 0001, Arindam Mukherjee 0001, Malgorzata Marek-Sadowska Latency and Latch Count Minimization in Wave Steered Circuits. Search on Bibsonomy DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Sally Myles, Mario di Bernardo Preventing Multiple switchings in Power Electronic Circuits: Effects of the Latch on the nonlinear Dynamics of the DC-DC buck converter. Search on Bibsonomy Int. J. Bifurc. Chaos The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Nikola Nedovic, Vojin G. Oklobdzija Hybrid latch Flip-Flop with Improved Power Efficiency. Search on Bibsonomy SBCCI The full citation details ... 2000 DBLP  BibTeX  RDF
16Christian Jesús B. Fayomi, Gordon W. Roberts, Mohamad Sawan Low power/low voltage high speed CMOS differential track and latch comparator with rail-to-rail input. Search on Bibsonomy ISCAS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Hongyan Yan, Manish Biyani, Kenneth K. O A high-speed CMOS dual-phase dynamic-pseudo NMOS ((DP)2) latch and its application in a dual-modulus prescaler. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Janett Mohnke, Paul Molitor, Sharad Malik Establishing latch correspondence for sequential circuits using distinguishing signatures. Search on Bibsonomy Integr. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Saeid Nooshabadi, Juan A. Montiel-Nelson, Kamran Eshraghian A novel latch design technique for high speed GaAs circuits. Search on Bibsonomy ICECS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Jari Pasanen, Bengt Oelmann Locally clocked AFSMs with dynamic latch implementation. Search on Bibsonomy ICECS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16George S. Taylor, Gerard M. Blair Reduced complexity two-phase micropipeline latch controller. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Jerry R. Burch, Vigyan Singhal Robust latch mapping for combinational equivalence checking. Search on Bibsonomy ICCAD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Young-Hee Kim, Jae-Yoon Sim, Hong June Park, Jae-Ik Doh, Kun-Woo Park, Hyun-Woong Chung, Jong-Hoon Oh, Choon-Sik Oh, Seung-Han Ahn Analysis and prevention of DRAM latch-up during power-on. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Wei Hwang, Rajiv V. Joshi, Walter H. Henkels A Pulse-To-Static Conversion Latch with a Self-Timed Control Circuit. Search on Bibsonomy ICCD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Jacob Savir Scan Latch Design for Delay Test. Search on Bibsonomy ITC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Ellen Sentovich, Horia Toma, Gérard Berry Efficient Latch Optimization Using Exclusive Sets. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Suguru Tachibana, Hisayuki Higuchi, Koichi Takasugi, Katsuro Sasaki, Toshiaki Yamanaka, Yoshinobu Nakagome A 2.6-ns wave-pipelined CMOS SRAM with dual-sensing-latch circuits. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Hungse Cha, Janak H. Patel Latch Design for Transient Pulse Tolerance. Search on Bibsonomy ICCD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16P. Zhou, J. C. Czilli, Graham A. Jullien, William C. Miller Current Input TSPC Latch for High Speed, Complex Switching Trees. Search on Bibsonomy ISCAS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Manuel J. Bellido, Manuel Valencia-Barrero, Antonio J. Acosta 0001, Angel Barriga, José Luis Huertas, Rafael Domínguez-Castro A New Faster Method for Calculating the Resolution Coefficient of CMOS Latches: Design of an Optimum Latch. Search on Bibsonomy ISCAS The full citation details ... 1993 DBLP  BibTeX  RDF
16Michael M. Y. Hui, Benoit Nadeau-Dostie Scan testing of latch arrays. Search on Bibsonomy VTS The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
16Susheel J. Chandra, Tom Ferry, Tushar Gheewala, Kerry Pierce ATPG Based on a Novel Grid-Addressable Latch Element. Search on Bibsonomy DAC The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
16Enrico Sangiorgi Latch-up in CMOS circuits: A review. Search on Bibsonomy Eur. Trans. Telecommun. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16Yusuke Matsunaga, Masahiro Fujita, Takeo Kakuda Multi-Level Logic Minimization Across Latch Boundaries. Search on Bibsonomy ICCAD The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16K. Wayne Current A CMOS Quaternary Threshold Logic Full Adder Circuit with Transparent Latch. Search on Bibsonomy ISMVL The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16Roberto Menozzi, Massimo Lanzoni, Luca Selmi, Bruno Riccò An improved procedure to test CMOS ICs for latch-up. Search on Bibsonomy ITC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 859 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license