The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for FinFET with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2003-2006 (17) 2007 (17) 2008 (19) 2009 (20) 2010 (27) 2011 (18) 2012 (31) 2013 (32) 2014 (77) 2015 (105) 2016 (86) 2017 (81) 2018 (122) 2019 (118) 2020 (94) 2021 (105) 2022 (100) 2023 (104) 2024 (18)
Publication types (Num. hits)
article(395) book(2) incollection(1) inproceedings(790) phdthesis(3)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 59 occurrences of 34 keywords

Results
Found 1191 publication records. Showing 1191 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
14Muyang Qin, Yabin Sun, Xiaojin Li, Yanling Shi Analytical Parameter Extraction for Small-Signal Equivalent Circuit of 3D FinFET Into Sub-THz Range. Search on Bibsonomy IEEE Access The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Tian Wang, Xiaoxin Cui, Yewen Ni, Dunshan Yu, Xiaole Cui Evaluation of Dynamic-Adjusting Threshold-Voltage Scheme for Low-Power FinFET Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Kexin Yang 0001, Taizhi Liu, Rui Zhang 0048, Linda Milor A Comprehensive Time-Dependent Dielectric Breakdown Lifetime Simulator for Both Traditional CMOS and FinFET Technology. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Tian Wang, Xiaoxin Cui, Kai Liao, Nan Liao, Dunshan Yu, Xiaole Cui Design of Low-Power High-Performance FinFET Standard Cells. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Vikas Mahor, Manisha Pattanaik A State-of-the-Art Current Mirror-Based Reliable Wide Fan-in FinFET Domino OR Gate Design. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14M. Arulvani, M. Mohamed Ismail Low power FinFET content addressable memory design for 5G communication networks. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Osama Abdelkader, Mohamed Mohie El-Din, Hassan Mostafa, Hamdy Abdelhamid, Hossam A. H. Fahmy, Yehea Ismail, Ahmed M. Soliman Technology Scaling Roadmap for FinFET-Based FPGA Clusters Under Process Variations. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Ajay Kumar Dadoria, Kavita Khare, Tarun Kumar Gupta, R. P. Singh New Leakage Reduction Techniques for FinFET Technology with Its Application. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14S. Dinesh Kumar, Himanshu Thapliyal, Azhar Mohammad FinSAL: FinFET-Based Secure Adiabatic Logic for Energy-Efficient and DPA Resistant IoT Devices. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Freddy Forero, Jean-Marc Gallière, Michel Renovell, Víctor H. Champac Detectability Challenges of Bridge Defects in FinFET Based Logic Cells. Search on Bibsonomy J. Electron. Test. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Ye Yu 0003, Niraj K. Jha Statistical Optimization of FinFET Processor Architectures under PVT Variations Using Dual Device-Type Assignment. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Lucas Prilenski, P. R. Mukund A sub 1-volt subthreshold bandgap reference at the 14 nm FinFET node. Search on Bibsonomy Microelectron. J. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Rajashree Das, Srimanta Baishya Analytical model of surface potential and threshold voltage in gate-drain overlap FinFET. Search on Bibsonomy Microelectron. J. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Rajeev Pankaj Nelapati, K. Sivasankaran Impact of self-heating effect on the performance of hybrid FinFET. Search on Bibsonomy Microelectron. J. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Xiaocheng Liu, Qifan Zhang, Pengcheng Qiu, Jiajie Tong, Huazi Zhang, Changyong Zhao, Jun Wang 0062 A 5.16Gbps decoder ASIC for Polar Code in 16nm FinFET. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
14Esteve Amat, Antonio Calomarde, Ramon Canal, Antonio Rubio 0001 Optimization of FinFET-Based Gain Cells for Low Power Sub-V T Embedded DRAMs. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14S. Nilamani, P. Chitra, V. N. Ramakrishnan Topological variation on sub-20 nm double-gate inversion and Junctionless-FinFET based 6T-SRAM circuits and its SEU radiation performance. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Shalu Kaundal, Ashwani K. Rana Evaluation of statistical variability and parametric sensitivity of non-uniformly doped Junctionless FinFET. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Anne Beyreuther, Norbert Herfurth, Elham Amini, Tomonori Nakamura, Ingrid De Wolf, Christian Boit Photon emission as a characterization tool for bipolar parasitics in FinFET technology. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Woojin Ahn, Sang Hoon Shin, Chunsheng Jiang, Hai Jiang, M. A. Wahab, Muhammad Ashraful Alam Integrated modeling of Self-heating of confined geometry (FinFET, NWFET, and NSHFET) transistors and its implications for the reliability of sub-20 nm modern integrated circuits. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Minjung Jin, Kangjung Kim, Yoohwan Kim, Hyewon Shim, Jinju Kim, Gunrae Kim, Sangwoo Pae Investigation of BTI characteristics and its behavior on 10 nm SRAM with high-k/metal gate FinFET technology having multi-VT gate stack. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Shaalini Chithambaram, Pik Kee Tan, Yuzhe Zhao, Binghai Liu, Yinzhe Ma, Alfred Quah, Dayanand Nagalingam, Yanlin Pan, Zhihong Mai Failure analysis on 14 nm FinFET devices with ESD CDM failure. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Roberto B. Almeida, Cleiton Magano Marques, Paulo F. Butzen, Fábio G. R. G. da Silva, Ricardo A. L. Reis, Cristina Meinhardt Analysis of 6 T SRAM cell in sub-45 nm CMOS and FinFET technologies. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14G. Cardoso Medeiros, Letícia Maria Veiras Bolzani, Mottaqiallah Taouil, Fabian Vargas 0001, Said Hamdioui A defect-oriented test approach using on-Chip current sensors for resistive defects in FinFET SRAMs. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Subhadeep Mukhopadhyay 0002, Yung-Huei Lee, Jen-Hao Lee Time-zero-variability and BTI impact on advanced FinFET device and circuit reliability. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14ChengKuei Lee, Sen Yin, Jinyu Zhang, Yan Wang 0023, Zhiping Yu Study on scalability of hybrid junctionless FinFET and multi-stacked nanowire FET by TCAD simulation. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Alfonso Herrera-Moreno, Jose Luis Garcia-Gervacio, Héctor Villacorta-Minaya, Héctor Vázquez-Leal TCAD analysis and modeling for NBTI mechanism in FinFET transistors. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Marko Simicic, Geert Hellings, Shih-Hung Chen, Naoto Horiguchi, Dimitri Linten ESD diodes with Si/SiGe superlattice I/O finFET architecture in a vertically stacked horizontal nanowire technology. Search on Bibsonomy ESSDERC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Bertrand Parvais, Geert Hellings, Marko Simicic, Pieter Weckx, Jérôme Mitard, Doyoung Jang, V. Deshpande, B. van Liempc, Anabela Veloso, A. Vandooren, Niamh Waldron, Piet Wambacq, Nadine Collaert, Diederik Verkest Scaling CMOS beyond Si FinFET: an analog/RF perspective. Search on Bibsonomy ESSDERC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Umanath Kamath, Tao Yu, Wei Yao, Edward Cullen, John Jennings, Susan Wu, Peng Lim, Brendan Farley, Robert Bogdan Staszewski BJT Device and Circuit Co-Optimization Enabling Bandgap Reference and Temperature Sensing in 7-nm FinFET. Search on Bibsonomy ESSDERC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14A. V. Korshunov, S. A. Ilin The Technique of Fast Power Analysis for FinFET Standard Cells. Search on Bibsonomy EWDTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Milova Paul, Boeila Sampath Kumar, Harald Gossner, Mayank Shrivastava Contact and junction engineering in bulk FinFET technology for improved ESD/latch-up performance with design trade-offs and its implications on hot carrier reliability. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14H. Zhang, H. Jiang, M. R. Eaker, Kurt J. Lezon, Balaji Narasimham, Nihaar N. Mahatme, Lloyd W. Massengill, Bharat L. Bhuva Evaluation on flip-flop physical unclonable functions in a 14/16-nm bulk FinFET technology. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Chen-Yi Su, M. Armstrong, Lei Jiang, S. A. Kumar, C. D. Landon, S. Liu, Inanc Meric, K. W. Park, Leif Paulson, K. Phoa, Bernhard Sell, Jihan Standfest, Ketul B. Sutaria, J. Wan, D. Young, Stephen Ramey Transistor reliability characterization and modeling of the 22FFL FinFET technology. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Taiki Uemura, Soonyoung Lee, Dahye Min, Ihlhwa Moon, Jungman Lim, Seungbae Lee, Hyun-Chul Sagong, Sangwoo Pae Investigation of alpha-induced single event transient (SET) in 10 nm FinFET logic circuit. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14D. S. Huang, J. H. Lee, Y. S. Tsai, Y. F. Wang, Y. S. Huang, C. K. Lin, Ryan Lu, Jun He Comprehensive device and product level reliability studies on advanced CMOS technologies featuring 7nm high-k metal gate FinFET transistors. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Pengpeng Ren, Changze Liu, Sanping Wan, Jiayang Zhang, Zhuoqing Yu, Nie Liu, Yongsheng Sun, Runsheng Wang, Canhui Zhan, Zhenghao Gan, Waisum Wong, Yu Xia, Ru Huang New insights into the HCI degradation of pass-gate transistor in advanced FinFET technology. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Balaji Narasimham, Saket Gupta, Daniel S. Reed, J. K. Wang, Nick Hendrickson, Hasan Taufique Scaling trends and bias dependence of the soft error rate of 16 nm and 7 nm FinFET SRAMs. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Antoine Laurent, Xavier Garros, Sylvain Barraud, J. Pelloux-Prayer, Mikaël Cassé, Fred Gaillard, X. Federspiel, David Roy 0001, E. Vincent, Gérard Ghibaudo Performance & reliability of 3D architectures (πfet, Finfet, Ωfet). Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Miaomiao Wang 0006, Richard G. Southwick, Kangguo Cheng, James H. Stathis Lateral profiling of HCI induced damage in ultra-scaled FinFET devices with Id-Vd characteristics. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Kaustubh Joshi, Shu-Wen Chang, D. S. Huang, P. J. Liao, Yung-Huei Lee Study of dynamic TDDB in scaled FinFET technologies. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Hyun-Chul Sagong, Hyunjin Kim, Seungjin Choo, Sungyoung Yoon, Hyewon Shim, Sangsu Ha, Tae-Young Jeong, Minhyeok Choe, Junekyun Park, Sangchul Shin, Sangwoo Pae Effects of Far-BEOL anneal on the WLR and product reliability characterization of FinFET process technology. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Wen Liu, Andreas Kerber, Fernando Guarin, Claude Ortolland Cap layer and multi-work-function tuning impact on TDDB/BTI in SOI FinFET devices. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Yi-Pin Fang, Anthony S. Oates Soft errors in 7nm FinFET SRAMs with integrated fan-out packaging. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Mitsuhiko Igarashi, Yuuki Uchida, Yoshio Takazawa, Yasumasa Tsukamoto, Koji Shibutani, Koji Nii Study of impact of BTI's local layout effect including recovery effect on various standard-cells in 10nm FinFET. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Peter C. Paliwoda, Zakariae Chbili, A. Kerber, D. Singh, Durga Misra Ambient temperature and layout impact on self-heating characterization in FinFET devices. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Hyunjin Kim, Minjung Jin, Hyun-Chul Sagong, Jinju Kim, Ukjin Jung, Minhyuck Choi, Junekyun Park, Sangchul Shin, Sangwoo Pae A systematic study of gate dielectric TDDB in FinFET technology. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Krzysztof Domanski Latch-up in FinFET technologies. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Anisur Rahman, Javier Dacuña, Pinakpani Nayak, Gerald S. Leatherman, Stephen Ramey Reliability studies of a 10nm high-performance and low-power CMOS technology featuring 3rd generation FinFET and 5th generation HK/MG. Search on Bibsonomy IRPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Daniel Kraak, Innocent Agbo, Mottaqiallah Taouil, Said Hamdioui, Pieter Weckx, Stefan Cosemans, Francky Catthoor Degradation analysis of high performance 14nm FinFET SRAM. Search on Bibsonomy DATE The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Meng-Chi Chen, Tsung-Hsuan Wu, Cheng-Wen Wu A Built-in Self-Test Scheme for Detecting Defects in FinFET-Based SRAM Circuit. Search on Bibsonomy ATS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Leonardo Heitich Brendler, Alexandra L. Zimpeck, Cristina Meinhardt, Ricardo Reis 0001 Exploring Multi-level Design to Mitigate Variability and Radiation Effects on 7nm FinFET Logic Cells. Search on Bibsonomy ICECS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Amir Shalom, Robert Giterman, Adam Teman High Density GC-eDRAM Design in 16nm FinFET. Search on Bibsonomy ICECS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Fabio G. Rossato G. da Silva, Cristina Meinhardt, Ricardo Reis 0001 Impact of Near-Threshold and Variability on 7nm FinFET XOR Circuits. Search on Bibsonomy ICECS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Angie Wang, Woo-Rham Bae, Jaeduk Han, Stevo Bailey, Paul Rigge, Orhan Ocal, Zhongkai Wang, Kannan Ramchandran, Elad Alon, Borivoje Nikolic A Real-Time, Analog/Digital Co-Designed 1.89-GHz Bandwidth, 175-kHz Resolution Sparse Spectral Analysis RISC-V SoC in 16-nm FinFET. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Umanath Kamath, Edward Cullen, John Jennings, Ionut Cical, Darragh Walsh, Peng Lim, Brendan Farley, Robert Bogdan Staszewski A 1 V Bandgap Reference in 7-nm FinFET with a Programmable Temperature Coefficient and an Inaccuracy of ±0.2% from -45°C to 125°C. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Sae Kyu Lee, Paul N. Whatmough, Niamh Mulholland, Patrick Hansen, David Brooks 0001, Gu-Yeon Wei A Wide Dynamic Range Sparse FC-DNN Processor with Multi-Cycle Banked SRAM Read and Adaptive Clocking in 16nm FinFET. Search on Bibsonomy ESSCIRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Jeongho Hwang, Gyu-Seob Jeong, Sang-Hyeok Chu, Wooseok Kim, Taeik Kim, Deog-Kyoon Jeong A Crystal-Less Programmable Clock Generator with RC-LC Hybrid Oscillator for GHz Applications in 14 nm FinFET CMOS. Search on Bibsonomy BCICTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Steven Callender, Woorim Shin, Hyung-Jin Lee, Stefano Pellerano, Christopher D. Hull FinFET for mm Wave - Technology and Circuit Design Challenges. Search on Bibsonomy BCICTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Min Soo Bae, Chuntaek Park, Ilgu Yun Compact Drain Current Model of Nanoscale FinFET Considering Short Channel Effect in Ballistic Transport Regime. Search on Bibsonomy SMACD The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Rafaella Fiorelli, Juan Núñez 0002, Fernando Silveira All-inversion region gm/ID methodology for RF circuits in FinFET technologies. Search on Bibsonomy NEWCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Zheng Guo, Daeyeon Kim, Satyanand Nalam, Jami Wiedemer, Xiaofei Wang, Eric Karl A 23.6Mb/mm2 SRAM in 10nm FinFET technology with pulsed PMOS TVC and stepped-WL for low-voltage applications. Search on Bibsonomy ISSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Min-Shueh Yuan, Chao-Chieh Li, Chia-Chun Liao, Yu-Tso Lin, Chih-Hsien Chang, Robert Bogdan Staszewski A 0.45V sub-mW all-digital PLL in 16nm FinFET for bluetooth low-energy (BLE) modulation and instantaneous channel hopping using 32.768kHz reference. Search on Bibsonomy ISSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Bichoy Bahr, Yanbo He, Zoran Krivokapic, Srinivasa Banna, Dana Weinstein 32GHz resonant-fin transistors in 14nm FinFET technology. Search on Bibsonomy ISSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Didem Turker, Ade Bekele, Parag Upadhyaya, Bob Verbruggen, Ying Cao 0010, Shaojun Ma, Christophe Erdmann, Brendan Farley, Yohan Frans, Ken Chang A 7.4-to-14GHz PLL with 54fsrms jitter in 16nm FinFET for integrated RF-data-converter SoCs. Search on Bibsonomy ISSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Taejoong Song, Jonghoon Jung, Woojin Rim, Hoonki Kim, Yongho Kim, Changnam Park, Jeongho Do, Sunghyun Park 0003, Sungwee Cho, Hyuntaek Jung, Bongjae Kwon, Hyun-Su Choi, Jaeseung Choi 0001, Jong Shik Yoon A 7nm FinFET SRAM using EUV lithography with dual write-driver-assist circuitry for low-voltage applications. Search on Bibsonomy ISSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Luke Wang, Yingying Fu, Marc-Andre LaCroix, Euhan Chong, Anthony Chan Carusone A 64Gb/s PAM-4 transceiver utilizing an adaptive threshold ADC in 16nm FinFET. Search on Bibsonomy ISSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Parag Upadhyaya, Chi Fung Poon, Siok-Wei Lim, Junho Cho, Arianne Roldan, Wenfeng Zhang, Jin Namkoong, Toan Pham, Bruce Xu, Winson Lin, Hongtao Zhang 0002, Nakul Narang, Kee Hian Tan, Geoff Zhang, Yohan Frans, Ken Chang A fully adaptive 19-to-56Gb/s PAM-4 wireline transceiver with a configurable ADC in 16nm FinFET. Search on Bibsonomy ISSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Lukas Kull, Danny Luu, Christian Menolfi, Matthias Braendli, Pier Andrea Francese, Thomas Morf, Marcel A. Kossel, Alessandro Cevrero, Ilter Özkaya, Thomas Toifl A 24-to-72GS/s 8b time-interleaved SAR ADC with 2.0-to-3.3pJ/conversion and >30dB SNDR at nyquist in 14nm CMOS FinFET. Search on Bibsonomy ISSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Cho-Ying Lu, Surej Ravikumar, Amruta D. Sali, Matthias Eberlein, Hyung-Jin Lee An 8b subthreshold hybrid thermal sensor with ±1.07°C inaccuracy and single-element remote-sensing technique in 22nm FinFET. Search on Bibsonomy ISSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Mohammad Sadegh Jalali, Mohammad Hossein Taghavi, Angus McLaren, Jennifer Pham, Kamran Farzan, Dominic DiClemente, Marcus van Ierssel, William Song, Saman Asgaran, Chris D. Holdenried, Saman Sadr A 4-Lane 1.25-to-28.05Gb/s multi-standard 6pJ/b 40dB transceiver in 14nm FinFET with independent TX/RX rate support. Search on Bibsonomy ISSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Qing Liu, Dae Hyun Kwon, Quang-Diep Bui, Jeong-Hyun Choi, Jaehun Lee, Sanghyun Baek, Seungchan Heo, Thomas Byunghak Cho A 1.4-to-2.7GHz high-efficiency RF transmitter with an automatic 3FLO-suppression tracking-notch-filter mixer supporting HPUE in 14nm FinFET CMOS. Search on Bibsonomy ISSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Ilter Özkaya, Alessandro Cevrero, Pier Andrea Francese, Christian Menolfi, Matthias Braendli, Thomas Morf, Daniel M. Kuchta, Lukas Kull, Marcel A. Kossel, Danny Luu, Mounir Meghelli, Yusuf Leblebici, Thomas Toifl A 56Gb/s burst-mode NRZ optical receiver with 6.8ns power-on and CDR-Lock time for adaptive optical links in 14nm FinFET CMOS. Search on Bibsonomy ISSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Stanley Chen, Lei Zhou, Ian Zhuang, Jay Im, Didem Turkur Melek, Jinyung Namkoong, Mayank Raj, Jaewook Shin, Yohan Frans, Ken Chang A 4-to-16GHz inverter-based injection-locked quadrature clock generator with phase interpolators for multi-standard I/Os in 7nm FinFET. Search on Bibsonomy ISSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Marc Erett, Declan Carey, James Hudner, Ronan Casey, Kevin Geary, Pedro Neto 0001, Mayank Raj, Scott McLeod, Hongtao Zhang 0002, Arianne Roldan, Hongyuan Zhao, Ping-Chuan Chiang, Haibing Zhao, Kee Hian Tan, Yohan Frans, Ken Chang A 126mW 56Gb/s NRZ wireline transceiver for synchronous short-reach applications in 16nm FinFET. Search on Bibsonomy ISSCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Arijit Banerjee 0002, Sumanth Kamineni, Benton H. Calhoun Multiple Combined Write-Read Peripheral Assists in 6T FinFET SRAMs for Low-VMIN IoT and Cognitive Applications. Search on Bibsonomy ISLPED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Bruno Vaz, Bob Verbruggen, Christophe Erdmann, Diarmuid Collins, John McGrath, Ali Boumaalif, Edward Cullen, Darragh Walsh, Alonso Morgado, Conrado Mesadri, Brian Long, Rajitha Pathepuram, Ronnie De La Torre, Alvin Manlapat, Georgios Karyotis, Dimitris Tsaliagos, Patrick Lynch, Peng Lim, Daire Breathnach, Brendan Farley A 13Bit 5GS/S ADC with Time-Interleaved Chopping Calibration in 16NM FinFET. Search on Bibsonomy VLSI Circuits The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Eric Hunt-Schroeder, Darren Anand, John A. Fifield, Mark Jacunski, Michael Roberge, Dale E. Pontius, Kevin Batson, Toshiaki Kirihata 14NM FinFET 1.5MB Embedded High-K Charge Trap Transistor One Time Programmable Memory Using Dynamic Adaptive Programming. Search on Bibsonomy VLSI Circuits The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Amy Whitcombe, Borivoje Nikolic, Farhana Sheikh, Erkan Alpman, Ashoke Ravi A Dual-Mode Configurable RF-to-Digital Receiver in 16NM FinFET. Search on Bibsonomy VLSI Circuits The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Tsung-Hsien Tsai, Ruey-Bin Sheen, Chih-Hsien Chang, Robert Bogdan Staszewski A 0.2GHz to 4GHz Hybrid PLL (ADPLL/Charge-Pump-PLL) in 7NM FinFET CMOS Featuring 0.619PS Integrated Jitter and 0.6US Settling Time at 2.3MW. Search on Bibsonomy VLSI Circuits The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Mahmut E. Sinangil, Yen-Ting Lin, Hung-Jen Liao, Jonathan Chang A 290MV Ultra-Low Voltage One-Port SRAM Compiler Design Using a 12T Write Contention and Read Upset Free Bit-Cell in 7NM FinFET Technology. Search on Bibsonomy VLSI Circuits The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Ting-Kuei Kuan, Chin-Yang Wu, Ruei-Pin Shen, Chih-Hsien Chang, Kenny Hsieh, Mark Chen 0001 A Digital Bang-Bang Phase-Locked Loop with Background Injection Timing Calibration and Automatic Loop Gain Control in 7NM FinFET CMOS. Search on Bibsonomy VLSI Circuits The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14James Hudner, Declan Carey, Ronan Casey, Kay Hearne, Pedro Wilson de Abreu Farias Neto, Ilias Chlis, Marc Erett, Chi Fung Poon, Asma Laraba, Hongtao Zhang 0002, Sai Lalith Chaitanya Ambatipudi, David Mahashin, Parag Upadhyaya, Yohan Frans, Ken Chang A 112GB/S PAM4 Wireline Receiver Using a 64-Way Time-Interleaved SAR ADC in 16NM FinFET. Search on Bibsonomy VLSI Circuits The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Kee Hian Tan, Ping-Chuan Chiang, Yipeng Wang 0003, Haibing Zhao, Arianne Roldan, Hongyuan Zhao, Nakul Narang, Siok-Wei Lim, Declan Carey, Sai Lalith Chaitanya Ambatipudi, Parag Upadhyaya, Yohan Frans, Ken Chang A 112-GB/S PAM4 Transmitter in 16NM FinFET. Search on Bibsonomy VLSI Circuits The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Jay Im, Stanley Chen, Dave Freitas, Adam Chou, Lei Zhou, Ian Zhuang, Tim Cronin, David Mahashin, Winson Lin, Kok Lim Chan, Hongyuan Zhao, Kee Hian Tan, Ade Bekele, Didem Turker, Parag Upadhyaya, Yohan Frans, Ken Chang A 0.5-28GB/S Wireline Tranceiver with 15-Tap DFE and Fast-Locking Digital CDR in 7NM FinFET. Search on Bibsonomy VLSI Circuits The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Gregory K. Chen, Raghavan Kumar, Huseyin Ekin Sumbul, Phil C. Knag, Ram K. Krishnamurthy A 4096-Neuron 1M-Synapse 3.8PJ/SOP Spiking Neural Network with On-Chip STDP Learning and Sparse Weights in 10NM FinFET CMOS. Search on Bibsonomy VLSI Circuits The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Xiaocheng Liu, Qifan Zhang, Pengcheng Qiu, Jiajie Tong, Huazi Zhang, Changyong Zhao, Jun Wang 0062 A 5.16Gbps decoder ASIC for Polar Code in 16nm FinFET. Search on Bibsonomy ISWCS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Youngmin Kim, Pilsung Jang, Joongseok Lim, Won Ko, Seungchan Heo, Jongwoo Lee, Thomas Byunghak Cho A Ka-band Phase Shifting Low Noise Amplifier with Gain Error Compensation for 5G RF beam forming array using 14nm FinFET CMOS. Search on Bibsonomy ISCAS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Motoi Ichihashi, Youngtag Woo, Muhammed Ahosan Ul Karim, Vivek Joshi, David Burnett 10T Differential-Signal SRAM Design in a L4-NM FinFET Technology for High-Speed Application. Search on Bibsonomy SoCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14G. Cardoso Medeiros, E. Brum, Leticia Bolzani Poehls, Thiago Copetti, Tiago R. Balen Influence of temperature on dynamic fault behavior due to resistive defects in FinFET-based SRAMs. Search on Bibsonomy LATS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Vishal Gupta 0002, Saurabh Khandelwal, Jimson Mathew, Marco Ottavi 45nm Bit-Interleaving Differential 10T Low Leakage FinFET Based SRAM with Column-Wise Write Access Control. Search on Bibsonomy DFT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Hassan Afzali-Kusha, Alireza Shafaei, Massoud Pedram A 125mV 2ns-access-time 16Kb SRAM design based on a 6T hybrid TFET-FinFET cell. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Archana Pandey, Pitul Garg, Shobhit Tyagi, Rajeev Ranjan, Anand Bulusu A modified method of logical effort for FinFET circuits considering impact of fin-extension effects. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Vivek Nautiyal, Nishant Nukala, Fakhruddin Ali Bohra, Sagar Dwivedi, Jitendra Dasani, Satinderjit Singh, Gaurav Singla, Martin Kinkade Logic-based row redundancy technique designed in 7nm FinFET technology for embedded SRAMs. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Shreyash Patel, YoungBae Kim, Ken Choi Novel Low Power FinFET SRAM Cell Design With Better Read and Writabilty For Cache Memory. Search on Bibsonomy ISOCC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14H. Girish, D. R. Shashikumar SOPA: Search Optimization Based Predictive Approach for Design Optimization in FinFET/SRAM. Search on Bibsonomy CSOS (2) The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Ali Razavieh, Y. Deng, Peter Zeitzoff, M. R. Na, J. Frougier, Gauri Karve, D. E. Brown, T. Yamashita, Edward J. Nowak Effective Drive Current in Scaled FinFET and NSFET CMOS Inverters. Search on Bibsonomy DRC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Kexin Yang 0001, Taizhi Liu, Rui Zhang 0048, Linda Milor Circuit-level reliability simulator for front-end-of-line and middle-of-line time-dependent dielectric breakdown in FinFET technology. Search on Bibsonomy VTS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Grigor Tshagharyan, Gurgen Harutyunyan, Yervant Zorian, Anteneh Gebregiorgis, Mohammad Saber Golanbari, Rajendra Bishnoi, Mehdi Baradaran Tahoori Modeling and Testing of Aging Faults in FinFET Memories for Automotive Applications. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
14Will Howell, Friedrich Hapke, Edward Brazil, Srikanth Venkataraman, R. Datta, Andreas Glowatz, Wilfried Redemund, J. Schmerberg, Anja Fast, Janusz Rajski DPPM Reduction Methods and New Defect Oriented Test Methods Applied to Advanced FinFET Technologies. Search on Bibsonomy ITC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 1191 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license