The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Latency with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1961-1981 (15) 1984-1987 (16) 1988 (19) 1989 (24) 1990 (20) 1991 (33) 1992 (37) 1993 (53) 1994 (82) 1995 (109) 1996 (164) 1997 (209) 1998 (139) 1999 (253) 2000 (308) 2001 (336) 2002 (472) 2003 (554) 2004 (743) 2005 (898) 2006 (983) 2007 (1116) 2008 (1050) 2009 (692) 2010 (326) 2011 (248) 2012 (273) 2013 (345) 2014 (354) 2015 (430) 2016 (493) 2017 (611) 2018 (860) 2019 (896) 2020 (912) 2021 (980) 2022 (1004) 2023 (1061) 2024 (229)
Publication types (Num. hits)
article(5510) book(3) data(8) incollection(15) inproceedings(11637) phdthesis(174)
Venues (Conferences, Journals, ...)
CoRR(1084) IPDPS(232) ICC(202) IEEE Trans. Computers(181) GLOBECOM(177) IEEE Access(176) IEEE Trans. Parallel Distribut...(167) ISCA(137) ISCAS(126) HPCA(121) IEEE Trans. Very Large Scale I...(120) IEEE Internet Things J.(112) VTC Spring(112) MICRO(109) WCNC(108) INFOCOM(104) More (+10 of total 2460)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 10061 occurrences of 3641 keywords

Results
Found 17347 publication records. Showing 17347 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Igor Margasinski, Michal Pióro Low-Latency Parallel Transport in Anonymous Peer-to-Peer Overlays. Search on Bibsonomy IPOM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF overlay networks management, privacy, communication system security, peer-to-peer overlays, Communication system traffic
15Ronghua Shi, Yongyan Deng An Improved Scheme for Reducing the Latency of AODV in Ad Hoc Networks. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Luciano Ost, Fernando Gehm Moraes, Leandro Möller, Leandro Soares Indrusiak, Manfred Glesner, Sanna Määttä, Jari Nurmi A simplified executable model to evaluate latency and throughput of networks-on-chip. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF performance evaluation, modeling, networks-on-chip
15Kevin Schaffer, Robert A. Walker 0001 Using hardware multithreading to overcome broadcast/reduction latency in an associative SIMD processor. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Patrick Marsch, Gerhard P. Fettweis Rate Region of the Multi-Cell Multiple Access Channel under Backhaul and Latency Constraints. Search on Bibsonomy WCNC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Igor Kim, Young-Chul Jung, Young-Tak Kim Low Latency Proactive Handover Scheme for Proxy MIPv6 with MIH. Search on Bibsonomy APNOMS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF MAG, LMA, PBU, PBA, QoS, MIH, PMIPv6
15Heiner Litz, Holger Fröning, Mondrian Nüssle, Ulrich Brüning 0001 VELO: A Novel Communication Engine for Ultra-Low Latency Message Transfers. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Nobuyuki Enomoto, Hideyuki Shimonishi, Junichi Higuchi, Takashi Yoshikawa, Atsushi Iwata High-Speed, Short-Latency Multipath Ethernet Transport for Interconnections. Search on Bibsonomy Hot Interconnects The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Interconnection, Ethernet, Multipath, PCI-Express
15Knut-Helge Vik, Carsten Griwodz, Pål Halvorsen Constructing low-latency overlay networks: Tree vs. mesh algorithms. Search on Bibsonomy LCN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Yijian Bai, Carlo Zaniolo Minimizing latency and memory in DSMS: a unified approach to quasi-optimal scheduling. Search on Bibsonomy SSPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF data stream management systems, operator scheduling
15Danil Sokolov, Alexandre V. Bystrov, Alexandre Yakovlev Direct Mapping of Low-Latency Asynchronous Controllers From STGs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Jawwad Shamsi, Monica Brockmeyer SyncProbe: Providing Assurance of Message Latency through Predictive Monitoring of Internet Paths. Search on Bibsonomy HASE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Jumpei Arata, Hiroki Takahashi, Phongsaen Pitakwatchara, Shin'ichi Warisawa, Kazuo Tanoue, Kozo Konishi, Satoshi Ieiri, Shuji Shimizu, Naoki Nakashima, Koji Okamura, Yuichi Fujino, Yukihiro Ueda, Pornarong Chotiwan, Mamoru Mitsuishi, Makoto Hashizume A remote surgery experiment between Japan and Thailand over Internet using a low latency CODEC system. Search on Bibsonomy ICRA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Wade L. Williams, Philip E. Madrid, Scott C. Johnson Low Latency Clock Domain Transfer for Simultaneously Mesochronous, Plesiochronous and Heterochronous Interfaces. Search on Bibsonomy ASYNC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Thomas Schmid 0002, Oussama Sekkat, Mani B. Srivastava An experimental study of network performance impact of increased latency in software defined radios. Search on Bibsonomy WINTECH The full citation details ... 2007 DBLP  DOI  BibTeX  RDF GNU radio, IEEE 802.15.4, software defined radio
15Ramanan Subramanian, Faramarz Fekri Analysis of Latency and Related Tradeoffs in Distribute Sensor Networks. Search on Bibsonomy SECON The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Allan Zhang, Sumi Helal SuperCache: A Mechanism to Minimize the Front End Latency. Search on Bibsonomy ITNG The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Eric E. Aubanel, Xiaochen Wu Incorporating Latency in Heterogeneous Graph Partitioning. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Andreas Hansson 0001, Martijn Coenen, Kees Goossens Channel trees: reducing latency by sharing time slots in time-multiplexed networks on chip. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF quality-of-service, system-on-chip, network-on-chip, time-division-multiplexing
15Hui Xue Zhao, Laurent George 0001, Serge Midonnet, Stephan Tassart, Ivan Bourmeyster Minimizing Latency and Data Memory Requirement for Real-time Chain-Structured Synchronous Dataflow. Search on Bibsonomy SIES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Chih-Da Chien, Chih-Wei Wang, Chiun-Chau Lin, Tien-Wei Hsieh, Yuan-Hwa Chu, Jiun-In Guo A Low Latency Memory Controller for Video Coding Systems. Search on Bibsonomy ICME The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Byoung Chul Kim, In Suk Chun Zero Latency Queuing System Based on Deficit Round Robin. Search on Bibsonomy ICCCN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Tzu-Chieh Kuo, Alan N. Willson Jr. Low-latency Memory-efficient 150-Mbps Turbo FEC Encoder and Decoder. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Jin Ryong Kim, Il-Kyu Park, Kwang-Hyun Shim The Effects of Network Loads and Latency in Multiplayer Online Games. Search on Bibsonomy ICEC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF MMOG tests, P2P-based tests, network game tests, multiplayer games, mean opinion score, Load tests
15Jin-Man Kim, Jong-Wook Jang Low Latency Vertical Handover Using MIH L2-Trigger Algorithm in Mobile IP Networks. Search on Bibsonomy ISPA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Vu-Duc Ngo, June-Young Chang, Younghwan Bae, Hanjin Cho, Hae-Wook Choi Latency Optimization for NoC Design of H.264 Decoder Based on Self-similar Traffic Modeling. Search on Bibsonomy ISPA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Hayoung Oh, Kijoon Chae An Energy-Efficient Sensor Routing with low latency, scalability in Wireless Sensor Networks. Search on Bibsonomy MUE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Yu-Shih Su, Da-Chung Wang, Shih-Chieh Chang, Malgorzata Marek-Sadowska An Efficient Mechanism for Performance Optimization of Variable-Latency Designs. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Phoebus Chen, Shankar Sastry 0001 Latency and connectivity analysis tools for wireless mesh networks. Search on Bibsonomy ROBOCOMM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Minas Dasygenis, Erik Brockmeyer, Bart Durinck, Francky Catthoor, Dimitrios Soudris, Adonios Thanailakis A combined DMA and application-specific prefetching approach for tackling the memory latency bottleneck. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Yi J. Liang, Bernd Girod Network-adaptive low-latency video communication over best-effort networks. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Bassam Aoun, Raouf Boutaba Clustering in WSN with Latency and Energy Consumption Constraints. Search on Bibsonomy J. Netw. Syst. Manag. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF dominating set (DS), clustering, quality of service (QoS), Wireless sensor network, gateway placement
15Jian Liang, Aniruddha Bohra, Hui Zhang 0002, Samrat Ganguly, Rauf Izmailov Minimizing Metadata Access Latency in Wide Area Networked File Systems. Search on Bibsonomy HiPC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Robert D. Mullins, Andrew West, Simon W. Moore The design and implementation of a low-latency on-chip network. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Luca Becchetti, Peter Korteweg, Alberto Marchetti-Spaccamela, Martin Skutella, Leen Stougie, Andrea Vitaletti Latency Constrained Aggregation in Sensor Networks. Search on Bibsonomy ESA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Kun-Feng Lin, Chuan-Ming Liu Schedules with Minimized Access Latency for Disseminating Dependent Information on Multiple Channels. Search on Bibsonomy SUTC (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15N. A. Vasanthi, S. Annadurai AWS: Asynchronous Wakeup Schedule to Minimize Latency in Wireless Sensor Networks. Search on Bibsonomy SUTC (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF wireless sensor networks, synchronization, graph coloring, MAC protocols, sleep scheduling
15António Grilo 0001, Mário Macedo, Mário Serafim Nunes An Energy-Efficient Low-Latency Multi-sink MAC Protocol for Alarm-Driven Wireless Sensor Networks. Search on Bibsonomy EuroNGI Workshop The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Early Warning and Tracking, Scheduled Channel Polling, Wireless Sensor Networks, Energy-Efficiency, MAC
15John C. Lin, Sampath Rangarajan LIHP: A Low Latency Layer-3 Handoff Scheme for 802.11Wireless Networks. Search on Bibsonomy WOWMOM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Stefan Köpsell Low Latency Anonymous Communication - How Long Are Users Willing to Wait?. Search on Bibsonomy ETRICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Behnam Rahnama, Atilla Elçi A novel no-latency simple-to-implement sender-based packet-loss recovery technique for multimedia streams. Search on Bibsonomy ISCN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Magnus Karlsson, Mark Vesterbacka Digit-serial/parallel multipliers with improved throughput and latency. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Robert M. Senger, Eric D. Marsman, Gordy A. Carichner, Sundus Kubba, Michael S. McCorquodale, Richard B. Brown Low-latency, HDL-synthesizable dynamic clock frequency controller with self-referenced hybrid clocking. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Tao Xie 0004, Xiao Qin 0001, Mais Nijim Solving Energy-Latency Dilemma: Task Allocation for Parallel Applications in Heterogeneous Embedded Systems. Search on Bibsonomy ICPP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Kikuko Kamisaka, Saneyasu Yamaguchi, Masato Oguchi Performance Analysis of iSCSI Middleware Optimized for Encryption Processing in a Long-Latency Environment. Search on Bibsonomy AINA (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Yoon-Young An, Byung Ho Yae, Kang-Won Lee, You Ze Cho, Woo Young Jung Reduction of Handover Latency Using MIH Services in MIPv6. Search on Bibsonomy AINA (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Tobias Fritsch, Hartmut Ritter, Jochen H. Schiller The effect of latency and network limitations on MMORPGs: a field study of everquest2. Search on Bibsonomy NETGAMES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Everquest2, user study, mobile gaming, MMORPG, network games
15Edith Beigné, Fabien Clermidy, Pascal Vivet, Alain Clouard, Marc Renaudin An Asynchronous NOC Architecture Providing Low Latency Service and Its Multi-Level Design Framework. Search on Bibsonomy ASYNC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Abbas Malekpour, Djamshid Tavangarian, Robil Daher Optimizing and Reducing the Delay Latency of Mobile IPv6 Location Management. Search on Bibsonomy IICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Timothy Mattox, Henry G. Dietz, William R. Dieter Sparse Flat Neighborhood Networks (SFNNs): Scalable Guaranteed Pairwise Bandwidth & Unit Latency. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Afshin Shiravi, Yoon G. Kim, Paul S. Min Proportional Nested Deficit Round Robin: Improving the Latency of Packet Scheduler with an O(1) Complexity. Search on Bibsonomy AAA-IDEA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Nested- DRR, Quantum Size, Complexity, Packet switch, Fair queueing, Deficit Round Robin
15David A. Patterson 0001 Latency Lags Bandwidth. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Michael Dyer, David Taubman, Saeid Nooshabadi Reduced latency arithmetic decoder for JPEG2000 block decoding. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Seiji Miura, Satoru Akiyama A memory controller that reduces latency of cached SDRAM. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Kim Huat Goh, Robert J. Kauffman Towards a Theory of Value Latency for IT Investments. Search on Bibsonomy HICSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF lagged effects, latent value, theory-building research, Business value, IT investments, economic analysis, IT value, organizational performance
15Youngsong Mun, Sehoon Jang A Study on the Performance Improvement to AAA Authentication in Mobile IPv4 Using Low Latency Handoff. Search on Bibsonomy ICCSA (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Amer Filipovic, Amitava Datta, Chris McDonald Low-Latency Routing in Ad Hoc Wireless Networks Using Finite Horizons. Search on Bibsonomy ISPA Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Richard Y. D. Xu, Jesse S. Jin Scheduling Latency Insensitive Computer Vision Tasks. Search on Bibsonomy ISPA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Andrea Ceccanti, Gian Paolo Jesi Building Latency-aware Overlay Topologies with QuickPee. Search on Bibsonomy ICAS/ICNS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Eric Hsiao-Kuang Wu, Ming-I Hsieh, Hsu-Te Lai A Novel Low Latency Packet Scheduling Scheme for Broadband Networks. Search on Bibsonomy PCM (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Amit Gandhi, Haitham Akkary, Ravi Rajwar, Srikanth T. Srinivasan, Konrad K. Lai Scalable Load and Store Processing in Latency Tolerant Processors. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Jongman Kim, Dongkook Park, Theo Theocharides, Narayanan Vijaykrishnan, Chita R. Das A low latency router supporting adaptivity for on-chip interconnects. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF networks, networks-on-chip, interconnection, adaptive routing
15Praveen Kumar, G. Sridhar, V. Sridhar Bandwidth and Latency Model for DHT Based Peer-to-Peer Networks under Variable Churn. Search on Bibsonomy Systems Communications The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15George Pallis 0001, Athena Vakali, Konstantinos Stamos, Antonis Sidiropoulos 0001, Dimitrios Katsaros 0001, Yannis Manolopoulos A Latency-Based Object Placement Approach in Content Distribution Networks. Search on Bibsonomy LA-WEB The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Elias Procópio Duarte Jr., Rogério Santini, Jaime Cohen Delivering Packets During The Routing Convergence Latency Interval Through Highly Connected Detours. Search on Bibsonomy DSN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Cut Trees, Fault-Tolerance, Routing, Graph Theory, Connectivity
15Brian Neil Levine, Michael K. Reiter, Chenxi Wang, Matthew K. Wright Timing Attacks in Low-Latency Mix Systems (Extended Abstract). Search on Bibsonomy Financial Cryptography The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15David Silver, Deryck Morales, Ioannis M. Rekleitis, Brad Lisien, Howie Choset Arc Carving: Obtaining Accurate, Low Latency Maps from Ultrasonic Range Sensors. Search on Bibsonomy ICRA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Manjunath Kudlur, Kevin Fan, Michael L. Chu, Rajiv A. Ravindran, Nathan Clark, Scott A. Mahlke FLASH: Foresighted Latency-Aware Scheduling Heuristic for Processors with Customized Datapaths. Search on Bibsonomy CGO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Gang Lu, Bhaskar Krishnamachari, Cauligi S. Raghavendra An Adaptive Energy-Efficient and Low-Latency MAC for Data Gathering in Wireless Sensor Networks. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Vikas Chandra, Herman Schmit, Anthony Xu, Lawrence T. Pileggi A power aware system level interconnect design methodology for latency-insensitive systems. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Michal Szymaniak, Guillaume Pierre, Maarten van Steen Scalable Cooperative Latency Estimation. Search on Bibsonomy ICPADS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Daishi Kato Latency Model of a Distributed Hash Table with Big Routing Table. Search on Bibsonomy Peer-to-Peer Computing The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Robert D. Mullins, Andrew West, Simon W. Moore Low-Latency Virtual-Channel Routers for On-Chip Networks. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Aniruddha Bhalekar, John S. Baras Cumulative Caching for Reduced User-Perceived Latency for WWW Transfers on Networks with Satellite Links. Search on Bibsonomy SAPIR The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Roberto Ammendola, M. Guagnelli, G. Mazza, Filippo Palombi, Roberto Petronzio, Davide Rossetti, Andrea Salamon, Piero Vicini APENet: a high speed, low latency 3D interconnect network. Search on Bibsonomy CLUSTER The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Mario R. Casu, Luca Macchiarulo A new approach to latency insensitive design. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF LaTeX, ACM proceedings, text tagging
15Aaron Archer, David P. Williamson Faster approximation algorithms for the minimum latency problem. Search on Bibsonomy SODA The full citation details ... 2003 DBLP  BibTeX  RDF
15Rolf Riesen, Ron Brightwell, Arthur B. Maccabe Measuring MPI Latency Variance. Search on Bibsonomy PVM/MPI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Oguz Benderli, Yusuf Çagatay Tekmen, A. Neslin Ismailoglu A Real Time, Low Latency, FPGA Implementation of the 2-D Discrete Wavelet Transformation for Streaming Image Applications. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Kamalika Chaudhuri, Brighten Godfrey, Satish Rao, Kunal Talwar Paths, Trees, and Minimum Latency Tours. Search on Bibsonomy FOCS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Philip Machanick, Brynn Andrew Latency Improvement in Virtual Multicasting. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Ruibing Lu, Cheng-Kok Koh Performance Optimization of Latency Insensitive Systems Through Buffer Queue Sizing of Communication Channels. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Ayose Falcón, Oliverio J. Santana, Alex Ramírez, Mateo Valero Tolerating Branch Predictor Latency on SMT. Search on Bibsonomy ISHPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF branch predictor delay, decoupled fetch, predictorpipelining, SMT
15Hwang-Cherng Chow, I-Chyn Wey A 3.3 V 1 GHz low-latency pipelined Booth multiplier with new Manchester carry-pass adder. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Curt Schurgers, Vlasios Tsiatsis, Saurabh Ganeriwal, Mani B. Srivastava Optimizing Sensor Networks in the Energy-Latency-Density Design Space. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Sensor networks, energy efficiency, topology, wakeup
15Luca P. Carloni, Alberto L. Sangiovanni-Vincentelli Coping with Latency in SOC Design. Search on Bibsonomy IEEE Micro The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Manuel E. Acacio, José González 0002, José M. García 0001, José Duato Reducing the Latency of L2 Misses in Shared-Memory Multiprocessors through On-Chip Directory Integration. Search on Bibsonomy PDP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF L2 misses, on-chip directory integration, multilevel directory, cc-NUMA
15R. K. Shyamasundar, Basant Rajan, Manish Prasad, Amit Jain LLM: A Low Latency Messaging Infrastructure for Linux Clusters. Search on Bibsonomy HiPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Seong Gon Choi, Hyun Joo Kang, Jun Kyun Choi Mobility Management with Low Latency Time by Using the GSMP on the MPLS Network. Search on Bibsonomy ICOIN (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Zhao Zhang 0010, Zhichun Zhu, Xiaodong Zhang 0001 Cached DRAM for ILP Processor Memory Access Latency Reduction. Search on Bibsonomy IEEE Micro The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Mauro Olivieri Design of synchronous and asynchronous variable-latency pipelined multipliers. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Oscar Garnica, Juan Lanchares, Román Hermida Optimization of Asynchronous Delay-Insensitive Pipeline Latency Using Stage Reorganization and Optimal Stage Parameter Estimation. Search on Bibsonomy ACSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Andrew Sohn, Yuetsu Kodama, Jui-Yuan Ku, Mitsuhisa Sato, Yoshinori Yamaguchi Tolerating Communication Latency through Dynamic Thread Invocation in a Multithreaded Architecture. Search on Bibsonomy Compiler Optimizations for Scalable Parallel Systems Languages The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Alireza Shahrabi, Mohamed Ould-Khaoua, Lewis M. Mackenzie Latency of Double-Tree Broadcast in Wormhole-Routed Hypercubes. Search on Bibsonomy ICPP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Vinodh Cuppu, Bruce L. Jacob Concurrency, latency, or system overhead: which has the largest impact on uniprocessor DRAM-system performance?. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Systems Application Architecture
15Roman L. Lysecky, Frank Vahid, Tony Givargis Techniques for Reducing Read Latency of Core Bus Wrappers. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF bus wrapper, interfacing, system-on-a-chip, intellectual property, Cores, design reuse, on-chip bus
15Zhong Wang, Michael Kirkpatrick 0001, Edwin Hsing-Mean Sha Optimal two level partitioning and loop scheduling for hiding memory latency for DSP applications. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Hyun-Wook Jin, Chuck Yoo Latency analysis of UDP and BPI on Myrinet. Search on Bibsonomy IPCCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Andrew Beaumont-Smith, Neil Burgess, S. Lefrere, Cheng-Chew Lim Reduced Latency IEEE Floating-Point Standard Adder Architectures. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1999 DBLP  DOI  BibTeX  RDF VLSI, floating-point, adder, arithmetic
15Kenneth J. Duda, David R. Cheriton Borrowed-virtual-time (BVT) scheduling: supporting latency-sensitive threads in a general-purpose schedular. Search on Bibsonomy SOSP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 17347 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license