The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Multicore with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-2005 (26) 2006 (74) 2007 (173) 2008 (321) 2009 (464) 2010 (484) 2011 (433) 2012 (456) 2013 (365) 2014 (424) 2015 (383) 2016 (353) 2017 (341) 2018 (315) 2019 (282) 2020 (155) 2021 (196) 2022 (221) 2023 (217) 2024 (32)
Publication types (Num. hits)
article(1725) book(14) data(1) incollection(63) inproceedings(3695) phdthesis(179) proceedings(38)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1848 occurrences of 823 keywords

Results
Found 5715 publication records. Showing 5715 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
13Steven P. Levitan, Donald M. Chiarulli Massively parallel processing: it's déjà vu all over again. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF parallel architectures and algorithms, multicore, massively parallel processing
13Michael R. Marty, Mark D. Hill Virtual Hierarchies. Search on Bibsonomy IEEE Micro The full citation details ... 2008 DBLP  DOI  BibTeX  RDF virtual hierarchies, virtual machines, partitioning, chip multiprocessors (CMPs), multicore, cache coherence, server consolidation, space sharing
13Aaron Block, James H. Anderson, UmaMaheswari C. Devi Task reweighting under global scheduling on multiprocessors. Search on Bibsonomy Real Time Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Scheduling, Adaptive, Multiprocessor, Multicore, Reweighting
13Divya Gulati, Changkyu Kim, Simha Sethumadhavan, Stephen W. Keckler, Doug Burger Multitasking workload scheduling on flexible-core chip multiprocessors. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF flexible cores, multitask scheduling, multicore architectures
13Xiaohong Qiu, Geoffrey C. Fox, Seung-Hee Bae, Jong Youl Choi, Jaliya Ekanayake, Yang Ruan SALSA Project: Parallel Data Mining of GIS, Web, Medical, Physics, Chemical, and Biology Data. Search on Bibsonomy eScience The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Data Mining, Parallel, Benchmark, Application, Multicore
13Eric S. Chung, Eriko Nurvitadhi, James C. Hoe, Babak Falsafi, Ken Mai A complexity-effective architecture for accelerating full-system multiprocessor simulations using FPGAs. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF simulator, FPGA, prototype, multiprocessor, multicore, emulator
13Easwaran Raman, Neil Vachharajani, Ram Rangan, David I. August Spice: speculative parallel iteration chunk execution. Search on Bibsonomy CGO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF automatic paralleization, thread level parallelism, multicore architectures, speculative parallelization, value speculation
13Sadaf R. Alam, Pratul K. Agarwal, Scott S. Hampton, Hong Ong Experimental Evaluation of Molecular Dynamics Simulations on Multi-core Systems. Search on Bibsonomy HiPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Performance, Multicore, HPC, Molecular Dynamics Simulation
13Timothy D. R. Hartley, Ümit V. Çatalyürek, Antonio Ruiz, Francisco D. Igual, Rafael Mayo 0002, Manuel Ujaldon Biomedical image analysis on a cooperative cluster of GPUs and multicores. Search on Bibsonomy ICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF biomedical image analysis, cuda programming, multicore cpus, multiprocessors, high performance computing, graphics processors
13Oreste Villa, Gianluca Palermo, Cristina Silvano Efficiency and scalability of barrier synchronization on NoC based many-core architectures. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF scalability, synchronization, efficiency, Multicore, NoC, barrier, Manycore
13Shantanu Gupta, Shuguang Feng, Amin Ansari, Jason A. Blome, Scott A. Mahlke StageNetSlice: a reconfigurable microarchitecture building block for resilient CMP systems. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF reliability, architecture, pipeline, multicore
13Valentina Salapura Scaling up next generation supercomputers. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF scalability of systems, chip multiprocessors (cmp), multicore, coherence protocols, blue gene
13Martin Burtscher, Milind Kulkarni 0001, Dimitrios Prountzos, Keshav Pingali On the Scalability of an Automatically Parallelized Irregular Application. Search on Bibsonomy LCPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF sparse graph algorithm, amorphous data-parallelism, optimistic execution, parallel programming, multicore processors, mesh refinement
13Antonia Zhai, Shengyue Wang, Pen-Chung Yew, Guojin He Compiler optimizations for parallelizing general-purpose applications under thread-level speculation. Search on Bibsonomy PPoPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF compiler optimizations, parallelizing compiler, thread-level speculation, multicore systems
13David Tarjan, Michael Boyer, Kevin Skadron Federation: repurposing scalar cores for out-of-order instruction issue. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CMP, multicore, federation, out-of-order
13Antonio Pullini, Federico Angiolini, Srinivasan Murali, David Atienza, Giovanni De Micheli, Luca Benini Bringing NoCs to 65 nm. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF network on chip, low-power design, power management, multicore architectures, on-chip interconnection networks, design aids, deep submicron design
13Mike Butts Synchronization through Communication in a Massively Parallel Processor Array. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multiple data stream processors, synchronization, parallel architectures, multiprocessors, multicore architectures
13David Arditti Ilitzky, Jeffrey D. Hoffman, Anthony Chun, Brando Perez Esparza Architecture of the Scalable Communications Core's Network on Chip. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF communication, networking, wireless, parallel architectures, wide-area networks, multicore architectures, on-chip interconnection networks
13David H. Albonesi Standing on Solid Ground. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF interconnects for multicore chips, special-purpose chips, debugging, human-computer interface, microarchitecture, programmability
13David H. Albonesi More Hot Stuff. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF power management, environment, ecology, power-aware architectures, multicore chips
13Yuan Lin 0002, Hyunseok Lee, Mark Woh, Yoav Harel, Scott A. Mahlke, Trevor N. Mudge, Chaitali Chakrabarti, Krisztián Flautner SODA: A High-Performance DSP Architecture for Software-Defined Radio. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF DSP, multicore, SIMD, embedded processor, software-defined radio, SODA
13Paul Gratz, Changkyu Kim, Karthikeyan Sankaralingam, Heather Hanson, Premkishore Shivakumar, Stephen W. Keckler, Doug Burger On-Chip Interconnection Networks of the TRIPS Chip. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF communication, networking, distributed architectures, packet-switching networks, multicore architectures, on-chip interconnection networks
13Michael Gschwind, David Erb, Sid Manning, Mark Nutter An Open Source Environment for Cell Broadband Engine System Software. Search on Bibsonomy Computer The full citation details ... 2007 DBLP  DOI  BibTeX  RDF chip multiprocessors, open source software, multicore processors, Cell Broadband Engine
13Linda Dailey Paulson News Briefs. Search on Bibsonomy Computer The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 3G cellular technologies, optical communications, femtocells, multicore chips
13Pierre Michaud, André Seznec, Damien Fetis, Yiannakis Sazeides, Theofanis Constantinou A study of thread migration in temperature-constrained multicores. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF temperature, Multicore processor, thermal management, thread migration, power density
13Ahmed Amine Jerraya, Olivier Franza, Markus Levy, Masao Nakaya, Pierre G. Paulin, Ulrich Ramacher, Deepu Talla, Wayne H. Wolf Roundtable: Envisioning the Future for Multiprocessor SoC. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multiprocessor, SoC, multicore, MPSoC, CPU, chip
13Michael L. Chu, Scott A. Mahlke Code and data partitioning for fine-grain parallelism. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF code and data partitioning, multicore compilation, fine-grain parallelism
13M. K. Velamati, Arun Kumar 0004, Naresh Jayam, Ganapathy Senthilkumar, Pallav K. Baruah, Raghunath Sharma, Shakti Kapoor, Ashok Srinivasan Optimization of Collective Communication in Intra-cell MPI. Search on Bibsonomy HiPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF heterogeneous multicore processor, MPI, Cell Processor
13Hongbin Zhu, Kai Bao, Enhua Wu, Xuehui Liu Stable and efficient miscible liquid-liquid interactions. Search on Bibsonomy VRST The full citation details ... 2007 DBLP  DOI  BibTeX  RDF miscible mixture, subgrid model, stability, control, memory optimization, lattice Boltzmann method, multicore system, free surface
13Wenlong Li, Eric Q. Li, Aamer Jaleel, Jiulong Shan, Yurong Chen 0001, Qigang Wang, Ravi R. Iyer 0001, Ramesh Illikkal, Yimin Zhang 0002, Dong Liu, Michael Liao, Wei Wei, Jinhua Du Understanding the Memory Performance of Data-Mining Workloads on Small, Medium, and Large-Scale CMPs Using Hardware-Software Co-simulation. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF DRAM caches, small-scale CMP, medium-scale CMP, large-scale CMP, hardware-software co-simulation, terabyte-level workloads, multithreaded data mining applications, cache design, memory performance, multicore systems, memory system performance
13Jiang Lin, Hongzhong Zheng, Zhichun Zhu, Zhao Zhang 0010, Howard David DRAM-Level Prefetching for Fully-Buffered DIMM: Design, Performance and Power Saving. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF channel bandwidth utilization, DRAM-level prefetching, dynamic random access memory, fully-buffered DIMM, dual in-line memory module, redundant bandwidth, memory block, L2 cache block, DRAM power consumption, SPEC2000 program, software cache prefetching, idle memory latency, power saving, multicore processor, memory controller, interconnect structure, DRAM chip
13Xiaofeng Guo, Jinquan Dai, Long Li, Zhiyuan Lv, Prashant R. Chandra Latency hiding through multithreading on a network processor. Search on Bibsonomy PPoPP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF compiler, multicore, code motion, latency hiding
13Michael R. Marty, Mark D. Hill Virtual hierarchies to support server consolidation. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF virtual machines, partitioning, chip multiprocessors (CMPs), multicore, memory hierarchies, cache coherence, server consolidation
13Carol Stolicny ITC 2005 panels. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multicore testing, design for testability, soft errors, test compression, International Test Conference, ITC 2005
13Peter Flake, Simon J. Davidmann, Frank Schirrmeister System-level exploration tools for MPSoC designs. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF simulation, software development, debugging, multicore
13Rakesh Kumar 0002, Dean M. Tullsen, Norman P. Jouppi, Parthasarathy Ranganathan Heterogeneous Chip Multiprocessors. Search on Bibsonomy Computer The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Multicore microprocessors, Multiprocessors, Chip multiprocessors, CMP, Heterogeneity, System architectures, Power-aware computing
13W. Neville Holmes The Turning of the Wheel. Search on Bibsonomy Computer The full citation details ... 2005 DBLP  DOI  BibTeX  RDF virtualization, computing profession, multicore chips
10Beata Bylina, Jaroslaw Bylina, Lukasz Chabudzinski, Karol Karpowicz, Michal Klisowski, Piotr Oleszczuk, Joanna Potiopa, Przemyslaw Stpiczynski Fast slope algorithm with the use of vectorization and parallelization for multicore architectures. Search on Bibsonomy GeoInformatica The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Chen Li 0033, Ziniu Lin, Lihua Tian, Bin Zhang 0022 A scheduling algorithm based on critical factors for heterogeneous multicore processors. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Seyed Ali Kashani Gharavi, Saeed Safari Performance Improvement of Processor Through Configurable Approximate Arithmetic Units in Multicore Systems. Search on Bibsonomy IEEE Access The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Lei Mo, Xinmei Li, Angeliki Kritikakou, Pengcheng You Optimal IC Task Mapping to Maximize QoS on Heterogeneous Multicore Systems. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Rafael Rodríguez-Sánchez 0001, Adrián Castelló 0001, Sandra Catalán, Francisco D. Igual, Enrique S. Quintana-Ortí Experiences with nested parallelism in task-parallel applications using malleable BLAS on multicore processors. Search on Bibsonomy Int. J. High Perform. Comput. Appl. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Lucas Morais, Carlos Álvarez 0001, Daniel Jiménez-González, Juan Miguel De Haro Ruiz, Guido Araujo, Michael Frank 0008, Alfredo Goldman, Xavier Martorell Enabling HW-Based Task Scheduling in Large Multicore Architectures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10S. Senthilmurugan, K. Gunaseelan Performance Analysis of Multicore Processor Using FOFO-Based Approximate Compatible ALU. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Yu-Guang Chen, Chieh-Shih Wang, Ing-Chao Lin, Zheng-Wei Chen, Ulf Schlichtmann Aging-Aware Energy-Efficient Task Deployment of Heterogeneous Multicore Systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Marina Shimchenko, Erik Österlund, Tobias Wrigstad Scheduling Garbage Collection for Energy Efficiency on Asymmetric Multicore Processors. Search on Bibsonomy Art Sci. Eng. Program. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Claudiu Buduleci, Arpad Gellert, Adrian Florea, Remus Brad Architectural and Technological Approaches for Efficient Energy Management in Multicore Processors. Search on Bibsonomy Comput. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Preeti Godabole, Girish P. Bhole Utilization and criticality based fault-tolerant scheduling in multicore mixed critical systems. Search on Bibsonomy Int. J. Pervasive Comput. Commun. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Mohammed Alghamdi, Ligang He, Shenyuan Ren, Mohammed Maray Efficient Parallel Processing of All-Pairs Shortest Paths on Multicore and GPU Systems. Search on Bibsonomy IEEE Trans. Consumer Electron. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Juan Carlos Saez, Fernando Castro, Graziano Fanizzi, Manuel Prieto-Matías LFOC+: A Fair OS-level Cache-Clustering Policy for Commodity Multicore Systems. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Marina Shimchenko, Erik Österlund, Tobias Wrigstad Scheduling Garbage Collection for Energy Efficiency on Asymmetric Multicore Processors. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Antonio Savino, Gautam Gala, Marcello Cinque, Gerhard Fohler Multicore DRAM Bank-& Row-Conflict Bomb for Timing Attacks in Mixed-Criticality Systems. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Juan Carlos Saez, Fernando Castro, Manuel Prieto-Matías Enabling performance portability of data-parallel OpenMP applications on asymmetric multicore processors. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Yokesh Babu Sundaresan, M. A. Saleem Durai Dynamic machine learning-based heuristic energy optimization approach on multicore architecture. Search on Bibsonomy Comput. Intell. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Jieung Kim, Ronghui Gu, Zhong Shao SimplMM: A simplified and abstract multicore hardware model for large scale system software formal verification. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Javier Rubio, Carlos Bilbao, Juan Carlos Saez, Manuel Prieto-Matías Exploiting Elasticity via OS-Runtime Cooperation to Improve CPU Utilization in Multicore Systems. Search on Bibsonomy PDP The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Tomoki Shimizu, Hiroki Nishikawa, Xiangbo Kong, Hiroyuki Tomiyama A Non-Work Conserving Algorithm for Dynamic Scheduling of Moldable Gang Tasks on Multicore Systems. Search on Bibsonomy ICEIC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Weiwei Shan, Kaize Zhou, Keran Li, Yuxuan Du, Zhuo Chen, Junyi Qian, Haitao Ge, Jun Yang 0006, Xin Si 14.2 Proactive Voltage Droop Mitigation Using Dual-Proportional-Derivative Control Based on Current and Voltage Prediction Applied to a Multicore Processor in 28nm CMOS. Search on Bibsonomy ISSCC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Avishek Choudhury, Brototi Mondal, Kolin Paul, Biplab K. Sikdar LLC Block Reuse Predictor Design using Deep Learning to Mitigate Soft Error in Multicore. Search on Bibsonomy VLSID The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10João Bispo, Sotirios Xydis, Serena Curzel, Luís Miguel Sousa (eds.) 15th Workshop on Parallel Programming and Run-Time Management Techniques for Many-Core Architectures and 13th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, PARMA-DITAM 2024, January 18, 2024, Munich, Germany Search on Bibsonomy PARMA-DITAM The full citation details ... 2024 DBLP  BibTeX  RDF
10Lei Yan, Yueyang Pan, Diyu Zhou, George Candea, Sanidhya Kashyap Transparent Multicore Scaling of Single-Threaded Network Functions. Search on Bibsonomy EuroSys The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10 Front Matter, Table of Contents, Preface, Conference Organization. Search on Bibsonomy PARMA-DITAM The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Marco Procaccini, Amin Sahebi, Marco Barbone, Wayne Luk, Georgi Gaydadjiev, Roberto Giorgi Accelerating Large-Scale Graph Processing with FPGAs: Lesson Learned and Future Directions. Search on Bibsonomy PARMA-DITAM The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Andreas Grapentin, Felix Eberhardt, Tobias Zagorni, Andreas Polze, Michele Gazzetti, Christian Pinto Zero-Copy, Minimal-Blackout Virtual Machine Migrations Using Disaggregated Shared Memory. Search on Bibsonomy PARMA-DITAM The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Gabriele Magnani, Lev Denisov, Daniele Cattaneo 0002, Giovanni Agosta, Stefano Cherubin Precision Tuning the Rust Memory-Safe Programming Language. Search on Bibsonomy PARMA-DITAM The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Oliver Oey, Michael Hübner 0001, Timo Stripf, Jürgen Becker 0001 Embedded Multi-Core Code Generation with Cross-Layer Parallelization. Search on Bibsonomy PARMA-DITAM The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Fabrizio Ferrandi, Michele Fiorito, Claudio Barone, Giovanni Gozzi, Serena Curzel High-Level Synthesis Developments in the Context of European Space Technology Research (Invited Talk). Search on Bibsonomy PARMA-DITAM The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Alireza Amirshahi, Giovanni Ansaloni, David Atienza Accelerator-Driven Data Arrangement to Minimize Transformers Run-Time on Multi-Core Architectures. Search on Bibsonomy PARMA-DITAM The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Leonardo Passig Horstmann, José Luis Conradi Hoffmann, Antônio Augusto Fröhlich Monitoring the performance of multicore embedded systems without disrupting its timing requirements. Search on Bibsonomy Des. Autom. Embed. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Tim Guertin, Ali R. Hurson Chapter Four - The multicore architecture. Search on Bibsonomy Adv. Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Muhammad Aditya Sasongko, Milind Chabbi, Paul H. J. Kelly, Didem Unat Precise event sampling-based data locality tools for AMD multicore architectures. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Adrien Cassagne, Romain Tajan, Olivier Aumage, Camille Leroux, Denis Barthou, Christophe Jégo A DSEL for high throughput and low latency software-defined radio on multicore CPUs. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Carlos Bilbao, Juan Carlos Saez, Manuel Prieto 0001 Flexible system software scheduling for asymmetric multicore systems with PMCSched: A case for Intel Alder Lake. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Taki Eddine Saidi, Abdelhakim Khouas, Abbes Amira Implementation of a real-time stereo vision algorithm on a cost-effective heterogeneous multicore platform. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Soma Niloy Ghosh, Vineet Sahula, Lava Bhargava Enhanced Multicore Performance Using Novel Thread-Aware Cache Coherence and Prefetch-Control Mechanism. Search on Bibsonomy IEEE Embed. Syst. Lett. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Jinwei Zhang, Sheriff Sadiqbatcha, Liang Chen 0025, Cuong Thi, Sachin Sachdeva, Hussam Amrouch, Sheldon X.-D. Tan Hot-spot aware thermoelectric array based cooling for multicore processors. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Esau Taiwo Oladipupo, Oluwakemi Christiana Abikoye, Agbotiname Lucky Imoize, Joseph Bamidele Awotunde, Ting-Yi Chang, Cheng-Chi Lee, Dinh-Thuan Do An Efficient Authenticated Elliptic Curve Cryptography Scheme for Multicore Wireless Sensor Networks. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Xiaohong Wen, Guojin Liu, Dejian Li, Yantao Yu, Haisen Zhao, Tiancong Huang Federated Scheduling Optimization Scheme for Typed Tasks With Power Constraints in Heterogeneous Multicore Processor Architectures. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10José María Aceituno, Ana Guasque, Patricia Balbastre, Francisco Blanes, Luigi Pomante Optimized Scheduling of Periodic Hard Real-Time Multicore Systems. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Amjad Ali 0004, Asad Masood Khattak, Shahid Iqbal, Omar Alfandi, Bashir Hayat, Muhammad Hameed Siddiqi, Adil Khan 0001 Overhead Based Cluster Scheduling of Mixed Criticality Systems on Multicore Platform. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Pablo M. Aviles, Jose A. Belloch, Luis Entrena, Almudena Lindoso Supervised Triple Macrosynchronized Lockstep (STMLS) Architecture for Multicore Processors. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Amit Chaurasia, Vijay Shankar Sharma, Chiranji Lal Chowdhary, Shakila Basheer, Thippa Reddy Gadekallu Non-Gaussian Traffic Modeling for Multicore Architecture Using Wavelet Based Rosenblatt Process. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Yang Bai, Lixing Chen, Jianhua Li 0001, Jun Wu 0001, Pan Zhou, Zichuan Xu, Jie Xu 0001 Multicore Federated Learning for Mobile-Edge Computing Platforms. Search on Bibsonomy IEEE Internet Things J. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Trio Adiono, Rhesa Muhammad Ramadhan, Nana Sutisna, Infall Syafalni, Rahmat Mulyawan, Chang Hong Lin Fast and Scalable Multicore YOLOv3-Tiny Accelerator Using Input Stationary Systolic Architecture. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Sankatali Venkateswarlu, Subrat Mishra, Herman Oprins, Bjorn Vermeersch, Moritz Brunion, Jun-Han Han, Mircea R. Stan, Dwaipayan Biswas, Pieter Weckx, Francky Catthoor Impact of 3-D Integration on Thermal Performance of RISC-V MemPool Multicore SOC. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Agustín Navarro-Torres, Jesús Alastruey-Benedé, Pablo Ibáñez, Víctor Viñals Yúfera BALANCER: bandwidth allocation and cache partitioning for multicore processors. Search on Bibsonomy J. Supercomput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Víctor M. García-Molla, Pedro Alonso-Jordá Parallel border tracking in binary images for multicore computers. Search on Bibsonomy J. Supercomput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Jinyi Xu, Hao Shi, Yixiang Chen Efficient tasks scheduling in multicore systems integrated with hardware accelerators. Search on Bibsonomy J. Supercomput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Christina Giannoula, Athanasios Peppas, Georgios I. Goumas, Nectarios Koziris High-performance and balanced parallel graph coloring on multicore platforms. Search on Bibsonomy J. Supercomput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Yaokang Yu, Jitendra Narayan Dash, Jingxian Cui, Dinusha Serandi Gunawardena, Hwa-Yaw Tam Miniature Two-Axis Accelerometer Based on Multicore Fiber for Pantograph-Catenary System. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Alejandro Fernández-Fraga, Jorge González-Domínguez, Juan Touriño ParRADMeth: Identification of Differentially Methylated Regions on Multicore Clusters. Search on Bibsonomy IEEE ACM Trans. Comput. Biol. Bioinform. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Abbas Dehghani 0002, Sadegh Fadaei, Bahman Ravaei, Keyvan RahimiZadeh Deadline-Aware and Energy-Efficient Dynamic Task Mapping and Scheduling for Multicore Systems Based on Wireless Network-on-Chip. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Adnan Performance evaluation on work-stealing featured parallel programs on asymmetric performance multicore processors. Search on Bibsonomy Array The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Ching-Chi Lin, Junjie Shi, Niklas Ueter, Mario Günzel, Jan Reineke 0001, Jian-Jia Chen Type-Aware Federated Scheduling for Typed DAG Tasks on Heterogeneous Multicore Platforms. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Sangeet Saha, Shounak Chakraborty 0001, Sukarn Agarwal, Rahul Gangopadhyay, Magnus Själander, Klaus D. McDonald-Maier DELICIOUS: Deadline-Aware Approximate Computing in Cache-Conscious Multicore. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Rafael C. F. Sousa, Márcio Machado Pereira, Yongin Kwon, Taeho Kim, Namsoon Jung, Chang Soo Kim, Michael Frank 0008, Guido Araujo Tensor slicing and optimization for multicore NPUs. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Pawel Bratek, Lukasz Szustak, Roman Wyrzykowski, Tomasz Olas Reducing energy consumption using heterogeneous voltage frequency scaling of data-parallel applications for multicore systems. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Avishek Choudhury, Brototi Mondal, Kolin Paul, Biplab K. Sikdar Energy efficiency in multicore shared cache by fault tolerance using a genetic algorithm based block reuse predictor. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Jinwei Zhang, Sheriff Sadiqbatcha, Sheldon X.-D. Tan Hot-Trim: Thermal and Reliability Management for Commercial Multicore Processors Considering Workload Dependent Hot Spots. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Xinmei Li, Lei Mo, Angeliki Kritikakou, Olivier Sentieys Approximation-Aware Task Deployment on Heterogeneous Multicore Platforms With DVFS. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 5715 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license