|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 2045 occurrences of 901 keywords
|
|
|
Results
Found 5214 publication records. Showing 5213 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
18 | Hachemi Bennaceur |
A Comparison between SAT and CSP Techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Constraints An Int. J. ![In: Constraints An Int. J. 9(2), pp. 123-138, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
constraint satisfaction, satisfiability |
18 | Etienne de Klerk, Dmitrii V. Pasechnik, Joost P. Warners |
On Approximate Graph Colouring and MAX-k-CUT Algorithms Based on the theta-Function. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comb. Optim. ![In: J. Comb. Optim. 8(3), pp. 267-294, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
semidefiniteprogramming, Lovász -function, MAX-k-CUT, approximation algorithms, satisfiability, graph colouring |
18 | Hans Kleine Büning, Xishun Zhao |
On Models for Quantified Boolean Formulas. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Logic versus Approximation ![In: Logic versus Approximation, Essays Dedicated to Michael M. Richter on the Occasion of his 65th Birthday, pp. 18-32, 2004, Springer, 3-540-22562-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
model checking, complexity, Boolean function, satisfiability, quantified Boolean formula |
18 | Daya Ram Gaur, Ramesh Krishnamurti |
Average Case Self-Duality of Monotone Boolean Functions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Canadian AI ![In: Advances in Artificial Intelligence, 17th Conference of the Canadian Society for Computational Studies of Intelligence, Canadian AI 2004, London, Ontario, Canada, May 17-19, 2004, Proceedings, pp. 322-338, 2004, Springer, 3-540-22004-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Machine Learning, Knowledge Representation, Satisfiability, Self-duality, Monotone Boolean Functions |
18 | Sudeshna Dasgupta, Vijay Chandru |
Minimal Unsatisfiable Sets: Classification and Bounds. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASIAN ![In: Advances in Computer Science - ASIAN 2004, Higher-Level Decision Making, 9th Asian Computing Science Conference, Dedicated to Jean-Louis Lassez on the Occasion of His 5th Cycle Birthday, Chiang Mai, Thailand, December 8-10, 2004, Proceedings, pp. 330-342, 2004, Springer, 3-540-24087-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Minimal Unsatisfiable Sets, satisfiability, propositional logic, Boolean formulas |
18 | Karl Meinke |
Automated black-box testing of functional correctness using function approximation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSTA ![In: Proceedings of the ACM/SIGSOFT International Symposium on Software Testing and Analysis, ISSTA 2004, Boston, Massachusetts, USA, July 11-14, 2004, pp. 143-153, 2004, ACM, 1-58113-820-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
formal specification, approximation, functional test, constraint solving, test coverage, black-box test, satisfiability problem |
18 | Juan Frausto Solís, Marco Antonio Cruz-Chavez |
A Reduced Codification for the Logical Representation of Job Shop Scheduling Problems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (4) ![In: Computational Science and Its Applications - ICCSA 2004, International Conference, Assisi, Italy, May 14-17, 2004, Proceedings, Part IV, pp. 553-562, 2004, Springer, 3-540-22060-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
the propositional satisfiability problem (SAT), Latest starting time, SAT formula, Job shop scheduling |
18 | Paul T. Darga, Mark H. Liffiton, Karem A. Sakallah, Igor L. Markov |
Exploiting structure in symmetry detection for CNF. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004, pp. 530-534, 2004, ACM, 1-58113-828-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
abstract algebra, partition refinement, symmetry, backtrack search, graph automorphism, boolean satisfiability (SAT) |
18 | William N. N. Hung, Xiaoyu Song, Guowu Yang, Jin Yang 0006, Marek A. Perkowski |
Quantum logic synthesis by symbolic reachability analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004, pp. 838-841, 2004, ACM, 1-58113-828-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
model checking, formal verification, quantum computing, satisfiability, reversible logic |
18 | Mohammad Ghasemzadeh 0001, Volker Klotz, Christoph Meinel |
Embedding Memoization to the Semantic Tree Search for Deciding QBFs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Australian Conference on Artificial Intelligence ![In: AI 2004: Advances in Artificial Intelligence, 17th Australian Joint Conference on Artificial Intelligence, Cairns, Australia, December 4-6, 2004, Proceedings, pp. 681-693, 2004, Springer, 3-540-24059-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Zero-Suppressed Binary Decision Diagram (ZDD), Quantified Boolean Formula (QBF), QSAT, Satisfiability, DPLL |
18 | Steffen Reith |
On the Complexity of Some Equivalence Problems for Propositional Calculi. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MFCS ![In: Mathematical Foundations of Computer Science 2003, 28th International Symposium, MFCS 2003, Bratislava, Slovakia, August 25-29, 2003, Proceedings, pp. 632-641, 2003, Springer, 3-540-40671-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Boolean isomorphism, Boolean equivalence, closed classes, Computational complexity, Boolean functions, satisfiability problems, Dichotomy, Post |
18 | Donald Chai, Andreas Kuehlmann |
A fast pseudo-boolean constraint solver. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 40th Design Automation Conference, DAC 2003, Anaheim, CA, USA, June 2-6, 2003, pp. 830-835, 2003, ACM, 1-58113-688-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
0-1 ILP, pseudo-boolean, satisfiability |
18 | Maria K. Michael, Spyros Tragoudas |
ATPG tools for delay faults at the functional level. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 7(1), pp. 33-57, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
functional-level testing, path delay fault simulation (coverage), testing digital circuits, Automatic test pattern generation, Binary Decision Diagrams, delay testing, Boolean Satisfiability, path delay fault testing |
18 | Farinaz Koushanfar, Jennifer L. Wong, Jessica Feng, Miodrag Potkonjak |
ILP-based engineering change. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 39th Design Automation Conference, DAC 2002, New Orleans, LA, USA, June 10-14, 2002, pp. 910-915, 2002, ACM, 1-58113-461-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
engineering change, satisfiability(SAT), synthesis, integer linear programming |
18 | Malay K. Ganai, Pranav Ashar, Aarti Gupta, Lintao Zhang, Sharad Malik |
Combining strengths of circuit-based and CNF-based algorithms for a high-performance SAT solver. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 39th Design Automation Conference, DAC 2002, New Orleans, LA, USA, June 10-14, 2002, pp. 747-750, 2002, ACM, 1-58113-461-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
boolean constraint propagation (BCP), bounded model checking (BMC), conjunctive normal form (CNF), boolean satisfiability (SAT) |
18 | Paulo F. Flores, Horácio C. Neto, João P. Marques Silva |
An exact solution to the minimum size test pattern problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 6(4), pp. 629-644, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
propositional satisfiability (SAT), verification and test, built-in self-test (BIST), Automatic test pattern generation (ATPG), integer linear programming (ILP) |
18 | Yoshinao Isobe, Kazuhito Ohmaki |
A process logic for distributed system synthesis . ![Search on Bibsonomy](Pics/bibsonomy.png) |
APSEC ![In: 7th Asia-Pacific Software Engineering Conference (APSEC 2000), 5-8 December 2000, Singapore, pp. 62-69, 2000, IEEE Computer Society, 0-7695-0915-0. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
process logic, distributed system synthesis, concurrent behavior, interleaving behavior, DS algebra, SP logic, computability, process algebra, process algebra, satisfiability, algebraic specification, concurrency theory |
18 | Gilles Audemard, Belaid Benhamou, Pierre Siegel |
AVAL: An Enumerative Method for SAT. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computational Logic ![In: Computational Logic - CL 2000, First International Conference, London, UK, 24-28 July, 2000, Proceedings, pp. 373-383, 2000, Springer, 3-540-67797-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
satisfiability, enumeration, deduction |
18 | Hidetomo Nabeshima, Katsumi Inoue, Hiromasa Haneda |
Implementing an action language using a SAT solver. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICTAI ![In: 12th IEEE International Conference on Tools with Artificial Intelligence (ICTAI 2000), 13-15 November 2000, Vancouver, BC, Canada, pp. 96-103, 2000, IEEE Computer Society, 0-7695-0909-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
planning algorithms, plan search space, planning graph, SAT planning, AMP, domain description, Java, Java, data structures, data structure, planning (artificial intelligence), computability, inference mechanisms, satisfiability problem, SAT solver, reasoning about action, model generation, action language |
18 | Jianzhong Zhang 0001, Xiaoguang Yang, Mao-cheng Cai |
Reverse Center Location Problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISAAC ![In: Algorithms and Computation, 10th International Symposium, ISAAC '99, Chennai, India, December 16-18, 1999, Proceedings, pp. 279-294, 1999, Springer, 3-540-66916-7. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
networks and graphs, maximum cost circulation. ams subject classification. 68q25, np-hard, 90c27, relaxation, satisfiability problem |
18 | Ramón Béjar, Felip Manyà |
Phase Transitions in the Regular Random 3-SAT Problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMIS ![In: Foundations of Intelligent Systems, 11th International Symposium, ISMIS '99, Warsaw, Poland, June 8-11, 1999, Proceedings, pp. 292-300, 1999, Springer, 3-540-65965-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
regular CNF formulas, benchmarks, satisfiability, threshold, Multiple-valued logics, phase transitions |
18 | Fritz Henglein, Jakob Rehof |
The Complexity of Subtype Entailment for Simple Types. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LICS ![In: Proceedings, 12th Annual IEEE Symposium on Logic in Computer Science, Warsaw, Poland, June 29 - July 2, 1997, pp. 352-361, 1997, IEEE Computer Society, 0-8186-7925-5. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
subtype entailment complexity, atomic entailment, coNP-completeness, complexity-theoretic marker, exponential explosion, subtype inference, structural complexity bounds, computability, satisfiability, axiomatization, linear-time algorithm |
18 | Keith Vanderveen, C. V. Ramamoorthy |
Partial instantiation theorem proving for distributed resource location. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMPSAC ![In: 21st International Computer Software and Applications Conference (COMPSAC '97), 11-15 August 1997, Washington, DC, USA, pp. 192-197, 1997, IEEE Computer Society, 0-8186-8105-5. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
partial instantiation theorem prover, distributed resource location, INSTANT, clausal form, non clausal form, GSAT algorithm, propositional sentence, request matching, CORBA Object Trading Service, KIF, theorem proving, satisfiability, first order logic, KQML |
18 | Aloysius K. Mok, Guangtian Liu |
Early detection of timing constraint violation at runtime. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTSS ![In: Proceedings of the 18th IEEE Real-Time Systems Symposium (RTSS '97), December 3-5, 1997, San Francisco, CA, USA, pp. 176-185, 1997, IEEE Computer Society, 0-8186-8268-X. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
timing constraint violation detection, timing constraint compliance, conditional guarantees, satisfiability checking algorithm, timing constraint monitoring, time terms, timing constraint specification, real-time systems, real time applications |
18 | Daniela Rosu 0001, Karsten Schwan, Sudhakar Yalamanchili, Rakesh Jha |
On adaptive resource allocation for complex real-time application. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTSS ![In: Proceedings of the 18th IEEE Real-Time Systems Symposium (RTSS '97), December 3-5, 1997, San Francisco, CA, USA, pp. 320-329, 1997, IEEE Computer Society, 0-8186-8268-X. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
complex real-time applications, high-performance real-time applications, limited resource availability, embedded system platforms, resource needs, over-sizing, worst-case application needs, time-critical applications, C31 systems, resource allocation, satisfiability, timing constraints, data-dependent, performance metrics, adaptive resource allocation |
18 | Kazuo Kawakubo, Koji Tanaka, Hiromi Hiraishi |
Formal Verification Of Self-Testing Properties Of Combinational Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 5th Asian Test Symposium (ATS '96), November 20-22, 1996, Hsinchu, Taiwan, pp. 119-122, 1996, IEEE Computer Society, 0-8186-7478-4. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
self-testing properties, logic function manipulation, decision function, output code words, self-checking logic, mutiple-input multiple-output circuit, fault tolerance, formal verification, combinational circuits, combinational circuits, binary decision diagrams, stuck-at faults, satisfiability problem, characteristic functions, Berger code |
18 | Kaori Yoshida, Torao Yanaru |
A proposal of emotional memory model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ANNES ![In: 2nd New Zealand Two-Stream International Conference on Artificial Neural Networks and Expert Systems (ANNES '95), November 20-23, 1995, Dunedin, New Zealand, pp. 67-70, 1995, IEEE Computer Society, 0-8186-7174-2. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
neural network, stability, satisfiability problem, Lagrangian method, propositional calculus |
18 | Sivaramakrishnan Venkatraman, Sharad C. Seth, Prathima Agrawal |
Parallel test generation with low communication overhead. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 8th International Conference on VLSI Design (VLSI Design 1995), 4-7 January 1995, New Delhi, India, pp. 116-120, 1995, IEEE Computer Society, 0-8186-6905-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
parallel test generation, low communication overhead, dynamic search-space allocation strategy, Sequent multiprocessor, parallel algorithms, logic testing, Boolean functions, analytical model, combinational circuits, shared memory multiprocessor, shared memory systems, processor scheduling, software performance evaluation, boolean satisfiability, combinational logic, greedy heuristic |
18 | E. Allen Emerson, Jai Srinivasan |
Branching time temporal logic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
REX Workshop ![In: Linear Time, Branching Time and Partial Order in Logics and Models for Concurrency, School/Workshop, Noordwijkerhout, The Netherlands, May 30 - June 3, 1988, Proceedings, pp. 123-172, 1988, Springer, 3-540-51080-X. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
Modal and Temporal Logic: Branching time temporal logic, linear time temporal logic, model checking - Logics of Programs: Reasoning about concurrent programs, specification of and reasoning about fairness - Software Engineering: Specification techniques, automated verification techniques - Computational Complexity, Automata Theory: Finite-state automata on infinite objects, program verification, satisfiability, decidability, expressiveness, decision procedures, dynamic logics, tree automata, axiomatics, program specification, mechanical synthesis |
18 | Anand Bhalgat, Tanmoy Chakraborty 0001, Sanjeev Khanna |
Approximating pure nash equilibrium in cut, party affiliation, and satisfiability games. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EC ![In: Proceedings 11th ACM Conference on Electronic Commerce (EC-2010), Cambridge, Massachusetts, USA, June 7-11, 2010, pp. 73-82, 2010, ACM, 978-1-60558-822-3. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
cut games, party affiliation games, potential games, pure nash equilibrium, approximation algorithms |
18 | |
Validity (Satisfiability). ![Search on Bibsonomy](Pics/bibsonomy.png) |
Encyclopedia of Database Systems ![In: Encyclopedia of Database Systems, pp. 3254, 2009, Springer US, 978-0-387-35544-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
18 | David Déharbe, Silvio Ranise |
Satisfiability solving for software verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Softw. Tools Technol. Transf. ![In: Int. J. Softw. Tools Technol. Transf. 11(3), pp. 255-260, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Equational theorem proving, Boolean solving, Theory reasoning, Software verification |
18 | Kanupriya Gulati, Suganth Paul, Sunil P. Khatri, Srinivas Patil, Abhijit Jas |
FPGA-based hardware acceleration for Boolean satisfiability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 14(2), pp. 33:1-33:11, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Boolean satisfiabilty (SAT), boolean constant propagation (BCP), conflict induced clauses, non-chronological backtrack, FPGA |
18 | Sharad Malik, Lintao Zhang |
Boolean satisfiability from theoretical hardness to practical success. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Commun. ACM ![In: Commun. ACM 52(8), pp. 76-82, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
18 | Andrew M. Sutton, Adele E. Howe, L. Darrell Whitley |
A Theoretical Analysis of the k-Satisfiability Search Space. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SLS ![In: Engineering Stochastic Local Search Algorithms. Designing, Implementing and Analyzing Effective Heuristics, Second International Workshop, SLS 2009, Brussels, Belgium, September 3-4, 2009. Proceedings, pp. 46-60, 2009, Springer, 978-3-642-03750-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
18 | Alessandro Ferrante, Margherita Napoli, Mimmo Parente |
Graded-CTL: Satisfiability and Symbolic Model Checking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICFEM ![In: Formal Methods and Software Engineering, 11th International Conference on Formal Engineering Methods, ICFEM 2009, Rio de Janeiro, Brazil, December 9-12, 2009. Proceedings, pp. 306-325, 2009, Springer, 978-3-642-10372-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
18 | Lukas Kroc, Ashish Sabharwal, Bart Selman |
Message-passing and local heuristics as decimation strategies for satisfiability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2009 ACM Symposium on Applied Computing (SAC), Honolulu, Hawaii, USA, March 9-12, 2009, pp. 1408-1414, 2009, ACM, 978-1-60558-166-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
survey propagation, heuristics, SAT, belief propagation, constraint reasoning |
18 | Emanuel Kieronski, Lidia Tendera |
On Finite Satisfiability of Two-Variable First-Order Logic with Equivalence Relations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LICS ![In: Proceedings of the 24th Annual IEEE Symposium on Logic in Computer Science, LICS 2009, 11-14 August 2009, Los Angeles, CA, USA, pp. 123-132, 2009, IEEE Computer Society, 978-0-7695-3746-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
18 | Heidi Gebauer, Robin A. Moser, Dominik Scheder, Emo Welzl |
The Lovász Local Lemma and Satisfiability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Efficient Algorithms ![In: Efficient Algorithms, Essays Dedicated to Kurt Mehlhorn on the Occasion of His 60th Birthday, pp. 30-54, 2009, Springer, 978-3-642-03455-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
18 | Ilkka Niemelä |
Integrating Answer Set Programming and Satisfiability Modulo Theories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LPNMR ![In: Logic Programming and Nonmonotonic Reasoning, 10th International Conference, LPNMR 2009, Potsdam, Germany, September 14-18, 2009. Proceedings, pp. 3, 2009, Springer, 978-3-642-04237-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
18 | Emilia Oikarinen, Matti Järvisalo |
Max-ASP: Maximum Satisfiability of Answer Set Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LPNMR ![In: Logic Programming and Nonmonotonic Reasoning, 10th International Conference, LPNMR 2009, Potsdam, Germany, September 14-18, 2009. Proceedings, pp. 236-249, 2009, Springer, 978-3-642-04237-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
18 | Diego Figueira |
Satisfiability of downward XPath with data equality tests. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODS ![In: Proceedings of the Twenty-Eigth ACM SIGMOD-SIGACT-SIGART Symposium on Principles of Database Systems, PODS 2009, June 19 - July 1, 2009, Providence, Rhode Island, USA, pp. 197-206, 2009, ACM, 978-1-60558-553-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
bip automaton, data-tree, infinite alphabet, unranked unordered tree, xpath, xml, data values |
18 | Rajeev Goré, Florian Widmann |
An Optimal On-the-Fly Tableau-Based Decision Procedure for PDL-Satisfiability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CADE ![In: Automated Deduction - CADE-22, 22nd International Conference on Automated Deduction, Montreal, Canada, August 2-7, 2009. Proceedings, pp. 437-452, 2009, Springer, 978-3-642-02958-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
18 | Enrica Nicolini, Christophe Ringeissen, Michaël Rusinowitch |
Satisfiability Procedures for Combination of Theories Sharing Integer Offsets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TACAS ![In: Tools and Algorithms for the Construction and Analysis of Systems, 15th International Conference, TACAS 2009, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2009, York, UK, March 22-29, 2009. Proceedings, pp. 428-442, 2009, Springer, 978-3-642-00767-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
18 | Syed Zafar Shazli, Mehdi Baradaran Tahoori |
Soft error rate computation in early design stages using boolean satisfiability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, Boston Area, MA, USA, May 10-12 2009, pp. 101-104, 2009, ACM, 978-1-60558-522-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
soft error, hardware description language, reliability modeling |
18 | Héctor Navarro, Saeid Nooshabadi, Juan A. Montiel-Nelson, Victor Navarro-Botello, Javier Sosa, José C. García 0001 |
A geometric approach to register transfer level satisfiability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 10th International Symposium on Quality of Electronic Design (ISQED 2009), 16-18 March 2009, San Jose, CA, USA, pp. 272-275, 2009, IEEE Computer Society, 978-1-4244-2952-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
18 | Peng Guo, Wenjian Luo, Zhifang Li, Houjun Liang, Xufa Wang |
Hybridizing Evolutionary Negative Selection Algorithm and Local Search for Large-Scale Satisfiability Problems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISICA ![In: Advances in Computation and Intelligence, 4th International Symposium, ISICA 2009, Huangshi, China, Ocotober 23-25, 2009, Proceedings, pp. 248-257, 2009, Springer, 978-3-642-04842-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Evolutionary Negative Selection Algorithm, Flip Heuristic, SAT |
18 | Alexandra Goultiaeva, Vicki Iverson, Fahiem Bacchus |
Beyond CNF: A Circuit-Based QBF Solver. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAT ![In: Theory and Applications of Satisfiability Testing - SAT 2009, 12th International Conference, SAT 2009, Swansea, UK, June 30 - July 3, 2009. Proceedings, pp. 412-426, 2009, Springer, 978-3-642-02776-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
18 | Bistra Dilkina, Carla P. Gomes, Ashish Sabharwal |
Backdoors in the Context of Learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAT ![In: Theory and Applications of Satisfiability Testing - SAT 2009, 12th International Conference, SAT 2009, Swansea, UK, June 30 - July 3, 2009. Proceedings, pp. 73-79, 2009, Springer, 978-3-642-02776-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
18 | Marko Samer, Helmut Veith |
Encoding Treewidth into SAT. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAT ![In: Theory and Applications of Satisfiability Testing - SAT 2009, 12th International Conference, SAT 2009, Swansea, UK, June 30 - July 3, 2009. Proceedings, pp. 45-50, 2009, Springer, 978-3-642-02776-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
18 | Shai Haim, Toby Walsh |
Restart Strategy Selection Using Machine Learning Techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAT ![In: Theory and Applications of Satisfiability Testing - SAT 2009, 12th International Conference, SAT 2009, Swansea, UK, June 30 - July 3, 2009. Proceedings, pp. 312-325, 2009, Springer, 978-3-642-02776-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
18 | Florian Lonsing, Armin Biere |
A Compact Representation for Syntactic Dependencies in QBFs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAT ![In: Theory and Applications of Satisfiability Testing - SAT 2009, 12th International Conference, SAT 2009, Swansea, UK, June 30 - July 3, 2009. Proceedings, pp. 398-411, 2009, Springer, 978-3-642-02776-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
18 | Timo Berthold, Stefan Heinz 0001, Marc E. Pfetsch |
Nonlinear Pseudo-Boolean Optimization: Relaxation or Propagation?. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAT ![In: Theory and Applications of Satisfiability Testing - SAT 2009, 12th International Conference, SAT 2009, Swansea, UK, June 30 - July 3, 2009. Proceedings, pp. 441-446, 2009, Springer, 978-3-642-02776-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
18 | Mark H. Liffiton, Karem A. Sakallah |
Generalizing Core-Guided Max-SAT. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAT ![In: Theory and Applications of Satisfiability Testing - SAT 2009, 12th International Conference, SAT 2009, Swansea, UK, June 30 - July 3, 2009. Proceedings, pp. 481-494, 2009, Springer, 978-3-642-02776-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
18 | Allen Van Gelder |
Improved Conflict-Clause Minimization Leads to Improved Propositional Proof Traces. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAT ![In: Theory and Applications of Satisfiability Testing - SAT 2009, 12th International Conference, SAT 2009, Swansea, UK, June 30 - July 3, 2009. Proceedings, pp. 141-146, 2009, Springer, 978-3-642-02776-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
18 | Jan Johannsen |
An Exponential Lower Bound for Width-Restricted Clause Learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAT ![In: Theory and Applications of Satisfiability Testing - SAT 2009, 12th International Conference, SAT 2009, Swansea, UK, June 30 - July 3, 2009. Proceedings, pp. 128-140, 2009, Springer, 978-3-642-02776-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
18 | Suchismita Roy, P. P. Chakrabarti 0001, Pallab Dasgupta |
Satisfiability Models for Maximum Transition Power. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 16(8), pp. 941-951, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
18 | Andreas Björklund, Thore Husfeldt |
Exact Algorithms for Exact Satisfiability and Number of Perfect Matchings. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Algorithmica ![In: Algorithmica 52(2), pp. 226-249, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Exact satisfability, Number of perfect matchings, Set cover, Exact algorithms, Set partition |
18 | Ju Wang 0005, Yuncheng Jiang, Yuming Shen |
Satisfiability and reasoning mechanism of terminological cycles in description logic vL . ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sci. China Ser. F Inf. Sci. ![In: Sci. China Ser. F Inf. Sci. 51(9), pp. 1204-1214, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
terminological cycles, description graph, model, description logic |
18 | Tommi A. Junttila, Jori Dubrovin |
Encoding Queues in Satisfiability Modulo Theories Based Bounded Model Checking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LPAR ![In: Logic for Programming, Artificial Intelligence, and Reasoning, 15th International Conference, LPAR 2008, Doha, Qatar, November 22-27, 2008. Proceedings, pp. 290-304, 2008, Springer, 978-3-540-89438-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
18 | Matteo Pradella, Angelo Morzenti, Pierluigi San Pietro |
Benchmarking Model- and Satisfiability-Checking on Bi-infinite Time. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICTAC ![In: Theoretical Aspects of Computing - ICTAC 2008, 5th International Colloquium, Istanbul, Turkey, September 1-3, 2008. Proceedings, pp. 290-304, 2008, Springer, 978-3-540-85761-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
bi-infinite words and automata, Bounded model checking, metric temporal logic |
18 | Miroslav N. Velev, Ping Gao 0002 |
Comparison of Boolean Satisfiability Encodings on FPGA Detailed Routing Problems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 2008, Munich, Germany, March 10-14, 2008, pp. 1268-1273, 2008, ACM, 978-3-9810801-3-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
18 | Lijuan Luo, Martin D. F. Wong |
Ordered escape routing based on Boolean satisfiability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 13th Asia South Pacific Design Automation Conference, ASP-DAC 2008, Seoul, Korea, January 21-24, 2008, pp. 244-249, 2008, IEEE, 978-1-4244-1921-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
18 | Martin Fränzle, Holger Hermanns, Tino Teige |
Stochastic Satisfiability Modulo Theory: A Novel Technique for the Analysis of Probabilistic Hybrid Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HSCC ![In: Hybrid Systems: Computation and Control, 11th International Workshop, HSCC 2008, St. Louis, MO, USA, April 22-24, 2008. Proceedings, pp. 172-186, 2008, Springer, 978-3-540-78928-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
18 | Margus Veanes, Ando Saabas |
Using Satisfiability Modulo Theories to Analyze Abstract State Machines (Abstract). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ABZ ![In: Abstract State Machines, B and Z, First International Conference, ABZ 2008, London, UK, September 16-18, 2008. Proceedings, pp. 355, 2008, Springer, 978-3-540-87602-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
18 | John D. Backes, Brian Fett, Marc D. Riedel |
The analysis of cyclic circuits with Boolean satisfiability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2008 International Conference on Computer-Aided Design, ICCAD 2008, San Jose, CA, USA, November 10-13, 2008, pp. 143-148, 2008, IEEE Computer Society, 978-1-4244-2820-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
18 | Alessandro Cimatti, Alberto Griggio, Roberto Sebastiani |
Efficient Interpolant Generation in Satisfiability Modulo Theories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TACAS ![In: Tools and Algorithms for the Construction and Analysis of Systems, 14th International Conference, TACAS 2008, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2008, Budapest, Hungary, March 29-April 6, 2008. Proceedings, pp. 397-412, 2008, Springer, 978-3-540-78799-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
18 | Martin De Wulf, Laurent Doyen 0001, Nicolas Maquet, Jean-François Raskin |
Antichains: Alternative Algorithms for LTL Satisfiability and Model-Checking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TACAS ![In: Tools and Algorithms for the Construction and Analysis of Systems, 14th International Conference, TACAS 2008, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2008, Budapest, Hungary, March 29-April 6, 2008. Proceedings, pp. 63-77, 2008, Springer, 978-3-540-78799-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
18 | Catalin Dima |
Revisiting Satisfiability and Model-Checking for CTLK with Synchrony and Perfect Recall. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CLIMA ![In: Computational Logic in Multi-Agent Systems, 9th International Workshop, CLIMA IX, Dresden, Germany, September 29-30, 2008. Revised Selected and Invited Papers, pp. 117-131, 2008, Springer, 978-3-642-02733-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
18 | Hervé Daudé, Vlady Ravelomanana |
Random 2-XORSAT at the Satisfiability Threshold. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LATIN ![In: LATIN 2008: Theoretical Informatics, 8th Latin American Symposium, Búzios, Brazil, April 7-11, 2008, Proceedings, pp. 12-23, 2008, Springer, 978-3-540-78772-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
18 | Wonhong Nam, Hyunyoung Kil, Dongwon Lee 0001 |
Type-Aware Web Service Composition Using Boolean Satisfiability Solver. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CEC/EEE ![In: 10th IEEE International Conference on E-Commerce Technology (CEC 2008) / 5th IEEE International Conference on Enterprise Computing, E-Commerce and E-Services (EEE 2008), July 21-14, 2008, Washington, DC, USA, pp. 331-334, 2008, IEEE Computer Society, 978-0-7695-3340-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
18 | John D. Davis, Zhangxi Tan, Fang Yu 0002, Lintao Zhang |
A practical reconfigurable hardware accelerator for Boolean satisfiability solvers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008, pp. 780-785, 2008, ACM, 978-1-60558-115-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
BCP, FPGA, reconfigurable, SAT solver, co-processor |
18 | Yong Gao 0001 |
Random Instances of W[2]-Complete Problems: Thresholds, Complexity, and Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAT ![In: Theory and Applications of Satisfiability Testing - SAT 2008, 11th International Conference, SAT 2008, Guangzhou, China, May 12-15, 2008. Proceedings, pp. 91-104, 2008, Springer, 978-3-540-79718-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
18 | Mark H. Liffiton, Karem A. Sakallah |
Searching for Autarkies to Trim Unsatisfiable Clause Sets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAT ![In: Theory and Applications of Satisfiability Testing - SAT 2008, 11th International Conference, SAT 2008, Guangzhou, China, May 12-15, 2008. Proceedings, pp. 182-195, 2008, Springer, 978-3-540-79718-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
18 | Hans van Maaren, Siert Wieringa |
Finding Guaranteed MUSes Fast. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAT ![In: Theory and Applications of Satisfiability Testing - SAT 2008, 11th International Conference, SAT 2008, Guangzhou, China, May 12-15, 2008. Proceedings, pp. 291-304, 2008, Springer, 978-3-540-79718-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
18 | Nadia Creignou, Hervé Daudé, Uwe Egly, Raphaël Rossignol |
New Results on the Phase Transition for Random Quantified Boolean Formulas. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAT ![In: Theory and Applications of Satisfiability Testing - SAT 2008, 11th International Conference, SAT 2008, Guangzhou, China, May 12-15, 2008. Proceedings, pp. 34-47, 2008, Springer, 978-3-540-79718-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
18 | Kazuo Iwama |
SAT, UNSAT and Coloring. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAT ![In: Theory and Applications of Satisfiability Testing - SAT 2008, 11th International Conference, SAT 2008, Guangzhou, China, May 12-15, 2008. Proceedings, pp. 153, 2008, Springer, 978-3-540-79718-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
18 | Stephan Kottler, Michael Kaufmann 0001, Carsten Sinz |
Computation of Renameable Horn Backdoors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAT ![In: Theory and Applications of Satisfiability Testing - SAT 2008, 11th International Conference, SAT 2008, Guangzhou, China, May 12-15, 2008. Proceedings, pp. 154-160, 2008, Springer, 978-3-540-79718-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
18 | Dominique de Werra, Peter L. Hammer |
Weighted stability number of graphs and weighted satisfiability: The two facets of pseudo-Boolean optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Ann. Oper. Res. ![In: Ann. Oper. Res. 149(1), pp. 67-73, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | Loganathan Lingappan, Niraj K. Jha |
Satisfiability-Based Automatic Test Program Generation and Design for Testability for Microprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 15(5), pp. 518-530, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | Nachiketh R. Potlapally, Anand Raghunathan, Srivaths Ravi 0001, Niraj K. Jha, Ruby B. Lee |
Aiding Side-Channel Attacks on Cryptographic Software With Satisfiability-Based Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 15(4), pp. 465-470, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | Andrew C. Ling, Deshanand P. Singh, Stephen Dean Brown |
FPGA PLB Architecture Evaluation and Area Optimization Techniques Using Boolean Satisfiability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(7), pp. 1196-1210, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | Marcos Villagra, Benjamín Barán |
Ant Colony Optimization with Adaptive Fitness Function for Satisfiability Testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WoLLIC ![In: Logic, Language, Information and Computation, 14th International Workshop, WoLLIC 2007, Rio de Janeiro, Brazil, July 2-5, 2007, Proceedings, pp. 352-361, 2007, Springer, 978-3-540-73443-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Adaptive Fitness Function, Local Search, Ant Colony Optimization, SAT |
18 | Jun Liu 0001, Luis Martínez-López 0001, Yang Xu 0001, Zhirui Lu |
Satisfiability in a Linguistic-Valued Logic and Its Quasi-horn Clause Inference Framework. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IFSA (2) ![In: Theoretical Advances and Applications of Fuzzy Logic and Soft Computing, Selection of Papers from IFSA 2007, pp. 629-639, 2007, Springer, 978-3-540-72433-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | Djamal Habet, Michel Vasquez |
Improving Local Search for Satisfiability Problem by Integrating Structural Properties. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RIVF ![In: 2007 IEEE International Conference on Research, Innovation and Vision for the Future in Computing & Communication Technologies, RIVF 2007, Hanoi, Vietnam, 5-9 March 2007, pp. 50-57, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | Pilar Pozos Parra, Verónica Borja Macías |
Partial Satisfiability-Based Merging. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICAI ![In: MICAI 2007: Advances in Artificial Intelligence, 6th Mexican International Conference on Artificial Intelligence, Aguascalientes, Mexico, November 4-10, 2007, Proceedings, pp. 225-235, 2007, Springer, 978-3-540-76630-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | Yeting Ge, Clark W. Barrett, Cesare Tinelli |
Solving Quantified Verification Conditions Using Satisfiability Modulo Theories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CADE ![In: Automated Deduction - CADE-21, 21st International Conference on Automated Deduction, Bremen, Germany, July 17-20, 2007, Proceedings, pp. 167-182, 2007, Springer, 978-3-540-73594-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | Djamal Habet, Lionel Paris, Belaid Benhamou |
Consistent Neighborhood for the Satisfiability Problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICTAI (2) ![In: 19th IEEE International Conference on Tools with Artificial Intelligence (ICTAI 2007), October 29-31, 2007, Patras, Greece, Volume 2, pp. 497-501, 2007, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | Daniel Singer, Anthony Monnet |
JaCk-SAT: A New Parallel Scheme to Solve the Satisfiability Problem (SAT) Based on Join-and-Check. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPAM ![In: Parallel Processing and Applied Mathematics, 7th International Conference, PPAM 2007, Gdansk, Poland, September 9-12, 2007, Revised Selected Papers, pp. 249-258, 2007, Springer, 978-3-540-68105-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | Robert Nieuwenhuis, Albert Oliveras, Enric Rodríguez-Carbonell, Albert Rubio |
Challenges in Satisfiability Modulo Theories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTA ![In: Term Rewriting and Applications, 18th International Conference, RTA 2007, Paris, France, June 26-28, 2007, Proceedings, pp. 2-18, 2007, Springer, 978-3-540-73447-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | Qihua Wang, Ninghui Li |
Satisfiability and Resiliency in Workflow Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESORICS ![In: Computer Security - ESORICS 2007, 12th European Symposium On Research In Computer Security, Dresden, Germany, September 24-26, 2007, Proceedings, pp. 90-105, 2007, Springer, 978-3-540-74834-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | Sean Safarpour, Hratch Mangassarian, Andreas G. Veneris, Mark H. Liffiton, Karem A. Sakallah |
Improved Design Debugging Using Maximum Satisfiability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FMCAD ![In: Formal Methods in Computer-Aided Design, 7th International Conference, FMCAD 2007, Austin, Texas, USA, November 11-14, 2007, Proceedings, pp. 13-19, 2007, IEEE Computer Society, 0-7695-3023-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | Emmanuel Filiot, Jean-Marc Talbot, Sophie Tison |
Satisfiability of a Spatial Logic with Tree Variables. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSL ![In: Computer Science Logic, 21st International Workshop, CSL 2007, 16th Annual Conference of the EACSL, Lausanne, Switzerland, September 11-15, 2007, Proceedings, pp. 130-145, 2007, Springer, 978-3-540-74914-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | Sayak Ray, Pallab Dasgupta, P. P. Chakrabarti 0001 |
A New Pseudo-Boolean Satisfiability based approach to Power Mode Schedulability Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 20th International Conference on VLSI Design (VLSI Design 2007), Sixth International Conference on Embedded Systems (ICES 2007), 6-10 January 2007, Bangalore, India, pp. 95-102, 2007, IEEE Computer Society, 0-7695-2762-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | Martin Wehrle, Jussi Rintanen |
Planning as Satisfiability with Relaxed $-Step Plans. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Australian Conference on Artificial Intelligence ![In: AI 2007: Advances in Artificial Intelligence, 20th Australian Joint Conference on Artificial Intelligence, Gold Coast, Australia, December 2-6, 2007, Proceedings, pp. 244-253, 2007, Springer, 978-3-540-76926-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | Duc Nghia Pham, John Thornton 0001, Charles Gretton, Abdul Sattar 0001 |
Advances in Local Search for Satisfiability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Australian Conference on Artificial Intelligence ![In: AI 2007: Advances in Artificial Intelligence, 20th Australian Joint Conference on Artificial Intelligence, Gold Coast, Australia, December 2-6, 2007, Proceedings, pp. 213-222, 2007, Springer, 978-3-540-76926-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | Panagiotis Manolios, Daron Vroon 0001 |
Efficient Circuit to CNF Conversion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAT ![In: Theory and Applications of Satisfiability Testing - SAT 2007, 10th International Conference, Lisbon, Portugal, May 28-31, 2007, Proceedings, pp. 4-9, 2007, Springer, 978-3-540-72787-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | Stefan Staber, Roderick Bloem |
Fault Localization and Correction with QBF. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAT ![In: Theory and Applications of Satisfiability Testing - SAT 2007, 10th International Conference, Lisbon, Portugal, May 28-31, 2007, Proceedings, pp. 355-368, 2007, Springer, 978-3-540-72787-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | Marijn Heule, Hans van Maaren |
Effective Incorporation of Double Look-Ahead Procedures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAT ![In: Theory and Applications of Satisfiability Testing - SAT 2007, 10th International Conference, Lisbon, Portugal, May 28-31, 2007, Proceedings, pp. 258-271, 2007, Springer, 978-3-540-72787-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
18 | Juan Antonio Navarro Pérez, Andrei Voronkov |
Encodings of Problems in Effectively Propositional Logic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAT ![In: Theory and Applications of Satisfiability Testing - SAT 2007, 10th International Conference, Lisbon, Portugal, May 28-31, 2007, Proceedings, pp. 3, 2007, Springer, 978-3-540-72787-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
Displaying result #601 - #700 of 5213 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ 15][ 16][ >>] |
|