The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Verilog with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1992-1996 (20) 1997-1998 (28) 1999 (24) 2000 (30) 2001 (23) 2002 (33) 2003 (48) 2004 (44) 2005 (60) 2006 (57) 2007 (64) 2008 (68) 2009 (35) 2010-2011 (18) 2012-2013 (24) 2014-2015 (30) 2016 (16) 2017 (18) 2018 (15) 2019 (24) 2020 (15) 2021-2022 (33) 2023 (22) 2024 (5)
Publication types (Num. hits)
article(130) book(8) incollection(4) inproceedings(609) phdthesis(3)
Venues (Conferences, Journals, ...)
DATE(27) CoRR(24) DAC(22) ISCAS(20) VLSI Design(19) DSD(12) ICCAD(12) FPGA(11) MEMOCODE(11) ICCD(10) IEEE Trans. Comput. Aided Des....(10) IEEE Trans. Very Large Scale I...(10) ISQED(10) FMCAD(9) MSE(9) PATMOS(9) More (+10 of total 292)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 455 occurrences of 313 keywords

Results
Found 754 publication records. Showing 754 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
10Luke Demoracski, Dimiter R. Avresky An Approach for Functional Decomposition Applied to State-Based Designs. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Hua Li, Jianzhou Li A High Performance Sub-Pipelined Architecture for AES. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF sub-pipelined architecture, FPGA, cryptography, AES
10Chun Luo, Jun Yang 0006, Gugang Gao, Longxing Shi Domain fault model and coverage metric for SoC verification. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Tadashi Saito, Moto Maeda, Tetsuo Hironaka, Kazuya Tanigawa, Tetsuya Sueyoshi, Ken-ichi Aoyama, Tetsushi Koide, Hans Jürgen Mattausch Design of superscalar processor with multi-bank register file. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Dayu Yang, Foster F. Dai, Charles E. Stroud Built-in self-test for automatic analog frequency response measurement. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Bo Hu, Zhao Li, Lili Zhou, C.-J. Richard Shi, Kwang-Hyun Baek, Myung-Jun Choe Model-compiler based efficient statistical circuit analysis: an industry case study of a 4 GHz/6-bit ADC/DAC/DEMUX ASIC. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Chengjun Zhang, Chunyan Wang 0004, M. Omair Ahmad A VLSI architecture for a high-speed computation of the 1D discrete wavelet transform. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Yasuhiro Takahashi, Michio Yokoyama New cost-effective VLSI implementation of multiplierless FIR filter using common subexpression elimination. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Ming-Ta Hsieh, Gerald E. Sobelman Clock and data recovery with adaptive loop gain for spread spectrum SerDes applications. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Donghyun Kim, Kangmin Lee, Se-Joong Lee, Hoi-Jun Yoo A reconfigurable crossbar switch with adaptive bandwidth control for networks-on-chip. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Chin-Cheng Kuo, Yu-Chien Wang, Chien-Nan Jimmy Liu An efficient bottom-up extraction approach to build accurate PLL behavioral models for SOC designs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Anat Dahan, Daniel Geist, Leonid Gluhovsky, Dmitry Pidan, Gil Shapir, Yaron Wolfsthal, Lyes Benalycherif, Romain Kamdem, Younes Lahbib Combining System Level Modeling with Assertion Based Verification. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Chun Luo, Jun Yang 0006, Longxing Shi, Xufan Wu, Yu Zhang Domain Strategy and Coverage Metric for Validation. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Kai-Hui Chang, Jeh-Yen Kang, Han-Wei Wang, Wei-Ting Tu, Yi-Jong Yeh, Sy-Yen Kuo Automatic Partitioner for Behavior Level Distributed Logic Simulation. Search on Bibsonomy FORTE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF RTL level partitioner, behavior level partitioner, distributed simulation, parallel simulation
10R. Gopalakrishnan, Rajat Moona Variable Resizing for Area Improvement in Behavioral Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Ping Dong, Xiangdong Shi, Jiehui Yang Design of a New Kind of Encryption Kernel Based on RSA Algorithm. Search on Bibsonomy CIS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Ethan Schuchman, T. N. Vijaykumar Rescue: A Microarchitecture for Testability and Defect Tolerance. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Arash Reyhani-Masoleh, M. Anwar Hasan Low Complexity Bit Parallel Architectures for Polynomial Basis Multiplication over GF(2^{m}). Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Byung Cheol Song, Kang Wook Chun Multi-resolution block matching algorithm and its VLSI architecture for fast motion estimation in an MPEG-2 video encoder. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Bin Sheng, Wen Gao 0001, Di Wu 0022 An implemented architecture of deblocking filter for H.264/AVC. Search on Bibsonomy ICIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Himanshu Jain, Daniel Kroening, Edmund M. Clarke Verification of SpecC using predicate abstraction. Search on Bibsonomy MEMOCODE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Suryaprasad Jayadevappa, Ravi Shankar 0002, Imad Mahgoub A Comparative Study of Modeling at Different Levels of Abstraction in System on Chip Designs: A Case Study. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Shu-Shin Chin, Sangjin Hong, Suhwan Kim Usage of Application-Specific Switching Activity for Energy Minimization of Arithmetic Units. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10John F. Keane, Christopher Bradley, Carl Ebeling A compiled accelerator for biological cell signaling simulations. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF simulation, reconfigurable hardware, cell, biology, reactions
10Ali Habibi, Asif Iqbal Ahmed, Otmane Aït Mohamed, Sofiène Tahar On the Design and Verification Methodology of the Look-Aside Interface. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10José M. Fernandes, Marcelino B. Santos, Arlindo L. Oliveira, João Paulo Teixeira 0001 A Probabilistic Method for the Computation of Testability of RTL Constructs. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10J. D. Kranthi Kumar, Shri K. V. Srinivasan A Novel VLSI Architecture to Implement Region Merging Algorithm for Image Segmentation. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Maâmar El-Amine Hamri, Norbert Giambiasi, Claudia S. Frydman Simulation Semantics for Min-Max DEVS Models. Search on Bibsonomy AIS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Scott J. Weber, Matthew W. Moskewicz, Matthias Gries, Christian Sauer 0001, Kurt Keutzer Fast cycle-accurate simulation and instruction set generation for constraint-based descriptions of programmable architectures. Search on Bibsonomy CODES+ISSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF automatic control generation, instruction set extraction, cycle-accurate simulation
10David Zaretsky, Gaurav Mittal, Xiaoyong Tang, Prithviraj Banerjee Overview of the FREEDOM Compiler for Mapping DSP Software to FPGAs. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Kees A. Vissers Programming models and architectures for FPGA platforms. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Daniel Barros Jr., Fabian Vargas 0001, Marcelino B. Santos, Isabel C. Teixeira, João Paulo Teixeira 0001 Modeling and Simulation of Time Domain Faults in Digital Systems. Search on Bibsonomy IOLTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Christian Stangier, Thomas Sidle Invariant Checking Combining Forward and Backward Traversal. Search on Bibsonomy FMCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Foster F. Dai, Charles E. Stroud, Dayu Yang, Shuying Qi Automatic Linearity (IP3) Test with Built-in Pattern Generator and Analyzer. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Bill Eklow, Anoosh Hosseini, Chi Khuong, Shyam Pullela, Toai Vo, Hien Chau Simulation Based System Level Fault Insertion Using Co-verification Tools. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Paolo Ienne, Ajay Kumar Verma Arithmetic Transformations to Maximise the Use of Compressor Trees. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Miodrag Vujkovic, David Wadkins, William Swartz, Carl Sechen Efficient timing closure without timing driven placement and routing. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF digital design flow, gate sizing, placement and routing, timing closure
10Gaurav Mittal, David Zaretsky, Xiaoyong Tang, Prithviraj Banerjee Automatic translation of software binaries onto FPGAs. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF compiler, reconfigurable computing, binary translation, hardware-software co-design, decompilation
10Pradip A. Thaker, Vishwani D. Agrawal, Mona E. Zaghloul A test evaluation technique for VLSI circuits using register-transfer level fault modeling. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Vivekananda M. Vedula, Jacob A. Abraham, Jayanta Bhadra, Raghuram S. Tupuri A Hierarchical Test Generation Approach Using Program Slicing Techniques on Hardware Description Languages. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF hierarchical test generation, constraint slicing, incremental slicing, program slicing, data-flow analysis
10Arun Raghupathy, Nitin Chandrachoodan, K. J. Ray Liu Algorithm and VLSI architecture for high performance adaptive video scaling. Search on Bibsonomy IEEE Trans. Multim. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Jen-Shiun Chiang, Min-Shiou Tsai A Radix-4 New Svobota-Tung Divider with Constant Timing Complexity for Prescaling. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF floating-point division, new Svoboda-Tung division, radix-4, Svoboda-Tung division, computer arithmetic, prescaling, signed digit number system
10Jae Sung Lee, Myung Hoon Sunwoo Design of New DSP Instructions and Their Hardware Architecture for High-Speed FFT. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF application specific digital signal processor, DMT, fast Fourier transform, OFDM
10Ali Reza Ejlali, Seyed Ghassem Miremadi, Hamid R. Zarandi, Ghazanfar Asadi, Siavash Bayat Sarmadi A Hybrid Fault Injection Approach Based on Simulation and Emulation Co-operation. Search on Bibsonomy DSN The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Arvind Bluespec: A language for hardware design, simulation, synthesis and verification Invited Talk. Search on Bibsonomy MEMOCODE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Hong Peng, Sofiène Tahar, Yassine Mokhtari Compositional Verification of a Switch Fabric from Nortel Networks. Search on Bibsonomy ICFEM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Alex K. Jones, Prithviraj Banerjee An automated and power-aware framework for utilization of IP cores in hardware generated from C descriptions targeting FPGAs. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Khaled Benkrid, Samir Belkacemi, Danny Crookes A logic based approach to hardware abstraction. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Matjaz Verderber, Andrej Zemva, Damjan Lampret HW/SW Partitioned Optimization and VLSI-FPGA Implementation of the MPEG-2 Video Decoder. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Seetharaman Ramachandran, S. Srinivasan 0001 Design and FPGA Implementation of a Video Scalar with on-chip reduced memory utilization. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Maria-Cristina V. Marinescu, Martin C. Rinard A Formal Framework for Modular Synchronous System Design. Search on Bibsonomy FME The full citation details ... 2003 DBLP  DOI  BibTeX  RDF modular, system design, asynchronous, formal
10Amir K. Daneshbeh, M. Anwarul Hasan A Unidirectional Bit Serial Systolic Architecture for Double-Basis Division over GF(2m). Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Matjaz Verderber, Andrej Zemva, Andrej Trost HW/SW Codesign of the MPEG-2 Video Decoder. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Eric W. Johnson Extensive Introduction to VHDL and PLDs in the Sophomore Year. Search on Bibsonomy MSE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Won Jay Song, Won Hee Kim, Bo Gwan Kim, Byung-Ha Ahn, Mun Kee Choi, Minho Kang Smart Card Terminal Systems Using ISO/IEC 7816-3 Interface and 8051 Microprocessor Based on the System-on-Chip. Search on Bibsonomy ISCIS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Won Jay Song, Won Hee Kim, Bo Gwan Kim, Byung-Ha Ahn, Mun Kee Choi, Minho Kang Conditional Access Module Systems for Digital Contents Protection Based on Hybrid/Fiber/Coax CATV Networks. Search on Bibsonomy ISCIS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Abhijit S. Pandya, Ankur Agarwal, Pyeoung Kee Kim Low Power Design of the Neuroprocessor. Search on Bibsonomy KES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Fabrice Baray, Philippe Codognet, Daniel Diaz 0001, Henri Michel Code-Based Test Generation for Validation of Functional Processor Descriptions. Search on Bibsonomy TACAS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Code-based test generation, functional hardware verification, constraint solving techniques
10Ya-Lan Tsao, Ming Hsuan Tan, Jun-Xian Teng, Shyh-Jye Jou Parameterized and low power DSP core for embedded systems. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Johnny Bjørnsen, Trond Ytterdal Behavioral modeling and simulation of high-speed analog-to-digital converters using SystemC. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Ki-seon Cho, Jong-on Park, Jin-seok Hong, Goang-Seog Choi 54x54-bit radix-4 multiplier based on modified booth algorithm. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF compressor, adder, multiplier, booth encoder, wallace tree
10Robert Thomson 0003, Tughrul Arslan The Evolutionary Design and Synthesis of Non-Linear Digital VLSI Systems. Search on Bibsonomy Evolvable Hardware The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Souvik Basu, Rajat Moona High Level Synthesis from Sim-nML Processor Models. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Arash Reyhani-Masoleh, M. Anwarul Hasan On Low Complexity Bit Parallel Polynomial Basis Multipliers. Search on Bibsonomy CHES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Finite or Galois field, Mastrovito multiplier, pentanomial, trinomial and equally-spaced polynomial, polynomial basis
10Edmund M. Clarke, Masahiro Fujita, Sreeranga P. Rajan, Thomas W. Reps, Subash Shankar, Tim Teitelbaum Program slicing for VHDL. Search on Bibsonomy Int. J. Softw. Tools Technol. Transf. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Model checking, Formal verification, VHDL, Program slicing, Hardware description languages
10Michael H. Perrott Behavioral Simulation of Fractional-N Frequency Synthesizers and Other PLL Circuits. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Carmen Baena Oliva, Jorge Juan-Chico, Manuel J. Bellido, Paulino Ruiz-de-Clavijo, Carlos Jesús Jiménez-Fernández, Manuel Valencia 0001 Measurement of the Switching Activity of CMOS Digital Circuits at the Gate Level. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Matías J. Garrido, César Sanz, Marcos Jiménez, Juan M. Meneses A Flexible Architecture for H.263 Video Coding. Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Jacir Luiz Bordim, Yasuaki Ito, Koji Nakano Accelerating the CKY Parsing Using FPGAs. Search on Bibsonomy HiPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Rochit Rajsuman Extending EDA Environment From Design to Test. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Matías J. Garrido, César Sanz, Marcos Jiménez, Juan M. Meneses A Flexible H.263 Video Coder Prototype Based on FPGA. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Hong Peng, Yassine Mokhtari, Sofiène Tahar Environment Synthesis for Compositional Model Checking. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Shyh-Jye Jou, Hsiao Ping Lee, Yi-Ting Chen, Ming Hsuan Tan, Ya-Lan Tsao An embedded DSP core for wireless communication. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Jerry C.-Y. Kao, C.-F. Su, Allen C.-H. Wu High-performance FIR generation based on a timing-driven architecture and component selection method. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Vivekananda M. Vedula, Jacob A. Abraham, Jayanta Bhadra Program Slicing for Hierarchical Test Generation. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Prithviraj Banerjee, Malay Haldar, Anshuman Nayak, Victor Kim, Debabrata Bagchi, Satrajit Pal, Nikhil Tripathi A Behavioral Synthesis Tool for Exploiting Fine Grain Parallelism in FPGAs. Search on Bibsonomy IWDC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Teppei Nakano, Takashi Morie, Makoto Nagata, Atsushi Iwata A cellular-automaton-type image extraction algorithm and its implementation using an FPGA. Search on Bibsonomy APCCAS (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Yuan-Sun Chu, Chi-Fang Li, Chien-Chung Chen Application-specific design system for 8-bit embedded micro-controller. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Marcio T. Oliveira, Alan J. Hu High-Level specification and automatic generation of IP interface monitors. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF formal verification, pipelining, regular expressions, alternation
10Arindam Chakrabarti, Pallab Dasgupta, P. P. Chakrabarti 0001, Ansuman Banerjee Formal verification of module interfaces against real time specifications. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF formal verification, temporal logic
10Michael H. Perrott Fast and accurate behavioral simulation of fractional-N frequency synthesizers and other PLL/DLL circuits. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF fractional-N, sigma, PLL, frequency, delta, DLL, synthesizer
10Christian Stangier, Ulrich Holtmann Applying Formal Verification with Protocol Compiler. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10George Economakos, Stergios Stergiou, George K. Papakonstantinou, Vassilios Zoukos A Multi-Lingual Synthesis and Verification Environment. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Chichyang Chen, Liang-An Chen, Jih-Ren Cheng Architectural Design of a Fast Floating-Point Multiplication-Add Fused Unit Using Signed-Digit Addition. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Maria-Cristina V. Marinescu, Martin C. Rinard High-level specification and efficient implementation of pipelined circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Systems Application Architecture
10Tamer Çatalkaya, Ulrich Golze Efficient Production of Computer-Based Training in Chip Desig. Search on Bibsonomy MSE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Richard Sharp, Alan Mycroft A Higher-Level Language for Hardware Synthesis. Search on Bibsonomy CHARME The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Alan Mycroft, Richard Sharp Hardware Synthesis Using SAFL and Application to Processor Design. Search on Bibsonomy CHARME The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Dimitris Bakalis, Kostas Adaos, George Alexiou, Dimitris Nikolos, D. Lymperopoulos EUDOXUS: A WWW-based Generator of Reusable Arithmetic Cores. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Paritosh K. Pandya Model Checking CTL*[DC]. Search on Bibsonomy TACAS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Taek Won Kwon, Chang-Seok You, Won-Seok Heo, Yong-Kyu Kang, Jun Rim Choi Two implementation methods of a 1024-bit RSA cryptoprocessor based on modified Montgomery algorithm. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Keishi Chikamura, Tomonori Izumi, Takao Onoye, Yukihiro Nakamura IEEE1394 system simulation environment and a design of its link layer controller. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Maw-Ching Lin, Chien-Lung Chen, Ding-Yu Shin, Chin-Hung Lin, Shyh-Jye Jou Low-power multiplierless FIR filter synthesizer based on CSD code. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Dae Won Kim, Taek Won Kwon, Jung Min Seo, Jae Kun Yu, Kyu Lee, Jung Hee Suk, Jun Rim Choi A compatible DCT/IDCT architecture using hardwired distributed arithmetic. Search on Bibsonomy ISCAS (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Chun-Yueh Huang, Gwo-Jeng Yu, Bin-Da Liu A hardware design approach for merge-sorting network. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Byeong Min, Gwan Choi ECC: Extended Condition Coverage for Design Verification Using Excitation and Observation. Search on Bibsonomy PRDC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Henry Kuo, Ingrid Verbauwhede Architectural Optimization for a 1.82Gbits/sec VLSI Implementation of the AES Rijndael Algorithm. Search on Bibsonomy CHES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Shengchao Qin, Zongyan Qiu, Jifeng He 0001 Constructing Hardware/Software Interface Using Protocol Converters. Search on Bibsonomy APAQS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF protocol converter, program algebra, Hardware/software partition
10Santanu Chattopadhyay, Shelly Adhikari, Sabyasachi Sengupta, Mahua Pal Highly regular, modular, and cascadable design of cellular automata-based pattern classifier. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Sung-Whan Moon, Jennifer Rexford, Kang G. Shin Scalable Hardware Priority Queue Architectures for High-Speed Packet Switches. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF VLSI, packet switch, Priority queue, real-time communications, link scheduling
Displaying result #601 - #700 of 754 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license