|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 9462 occurrences of 2787 keywords
|
|
|
Results
Found 15666 publication records. Showing 15666 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
35 | Richard S. L. Wu, Allan K. Y. Wong, Tharam S. Dillon |
Using Real-Time Traffic Pattern Detection for Dynamic Cache Size Tuning in Information Retrieval. |
ICITA (2) |
2005 |
DBLP DOI BibTeX RDF |
dynamic cache size tuning, RTPD/MACSC, popularity ratio, Internet traffic patterns, hit ratio |
35 | Salvador Petit, Julio Sahuquillo, Jose M. Such, David R. Kaeli |
Exploiting temporal locality in drowsy cache policies. |
Conf. Computing Frontiers |
2005 |
DBLP DOI BibTeX RDF |
drowsy cache policies, reuse information, low-power, temporal locality, set-associative caches |
35 | Keqiu Li, Hong Shen 0001, Di Wu |
Cooperative Determination on Cache Replacement Candidates for Transcoding Proxy Caching. |
GCC |
2005 |
DBLP DOI BibTeX RDF |
performance evaluation, Internet, Web caching, cache replacement, multimedia object, transcoding proxy |
35 | Ravi R. Iyer 0001 |
Characterization and Evaluation of Cache Hierarchies for Web Servers. |
World Wide Web |
2004 |
DBLP DOI BibTeX RDF |
chipsets, snoop filters, simulation, performance evaluation, memory hierarchy, web servers, cache coherence, shared caches, commercial workloads |
35 | Zhiyong Xu, Sohum Sohoni, Rui Min, Yiming Hu |
An Analysis of Cache Performance of Multimedia Applications. |
IEEE Trans. Computers |
2004 |
DBLP DOI BibTeX RDF |
Multimedia applications, memory systems, cache performance, TLB |
35 | Johan Stärner, Lars Asplund |
Measuring the cache interference cost in preemptive real-time systems. |
LCTES |
2004 |
DBLP DOI BibTeX RDF |
real-time operating system, preemption, cache interference |
35 | José González 0002, Fernando Latorre, Antonio González 0001 |
Cache organizations for clustered microarchitectures. |
WMPI |
2004 |
DBLP DOI BibTeX RDF |
clustering, memory hierarchy, steering, cache organization |
35 | Mikhail Mikhailov, Craig E. Wills |
Evaluating a new approach to strong web cache consistency with snapshots of collected content. |
WWW |
2003 |
DBLP DOI BibTeX RDF |
change characteristics, collected content, object relationships, server invalidation, web caching, cache consistency, object composition |
35 | Chuanjun Zhang, Frank Vahid |
Cache Configuration Exploration on Prototyping Platforms. |
IEEE International Workshop on Rapid System Prototyping |
2003 |
DBLP DOI BibTeX RDF |
embedded systems, low power, memory hierarchy, low energy, architecture tuning, Configurable cache, system-level exploration |
35 | Dan Nicolaescu, Alexander V. Veidenbaum, Alexandru Nicolau |
Reducing data cache energy consumption via cached load/store queue. |
ISLPED |
2003 |
DBLP DOI BibTeX RDF |
LSQ, load queue, store queue, low power, cache, memory, low energy, low latency |
35 | Enric Gibert, F. Jesús Sánchez, Antonio González 0001 |
An interleaved cache clustered VLIW processor. |
ICS |
2002 |
DBLP DOI BibTeX RDF |
attraction buffers, modulo scheduling, VLIW processors, distributed cache, clustered microarchitectures |
35 | Kyoungwoon Cho, Yeonseung Ryu, Youjip Won, Kern Koh |
ABM: Looping Reference-Aware Cache Management Scheme for Media-on-Demand Server. |
EDBT Workshops |
2002 |
DBLP DOI BibTeX RDF |
Looping reference, ABM, Multimedia, File System, LRU, Buffer Cache, Interval Caching |
35 | Caroline Benveniste, Peter A. Franaszek, John T. Robinson |
Cache-Memory Interfaces in Compressed Memory Systems. |
IEEE Trans. Computers |
2001 |
DBLP DOI BibTeX RDF |
memory compression, performance analysis, trace-driven simulation, cache design, Memory system design |
35 | Donald Kossmann, Michael J. Franklin, Gerhard Drasch |
Cache investment: integrating query optimization and distributed data placement. |
ACM Trans. Database Syst. |
2000 |
DBLP DOI BibTeX RDF |
cache investment, client-server database systems, dynamic data placement, query shipping, caching, query optimization, data shipping |
35 | Hock-Beng Lim, Pen-Chung Yew |
An Integrated Framework for Compiler-Directed Cache Coherence and Data Prefetching. |
LCPC |
1998 |
DBLP DOI BibTeX RDF |
Compiler-directed Cache Coherence, Memory Latency Hiding, Shared-memory Multiprocessors, Data Prefetching |
35 | Daniel H. Friendly, Sanjay J. Patel, Yale N. Patt |
Alternative Fetch and Issue Policies for the Trace Cache Fetch Mechanism. |
MICRO |
1997 |
DBLP DOI BibTeX RDF |
high bandwidth fetch mechanisms, wide issue machines, inactive issue, speculative execution, trace cache, partial matching |
35 | Chun Xia, Josep Torrellas |
Improving the Data Cache Performance of Multiprocessor Operating Systems. |
HPCA |
1996 |
DBLP DOI BibTeX RDF |
data cache performance, operating system effect on caches, bus-based multiprocessor, address trace evaluation, block operations, latency hiding |
35 | Dean M. Tullsen, Susan J. Eggers |
Effective Cache Prefetching on Bus-Based Multiprocessors |
ACM Trans. Comput. Syst. |
1995 |
DBLP DOI BibTeX RDF |
bus-based multiprocessors, memory latency hiding, false sharing, cache prefetching |
35 | Olivier Temam, Christine Fricker, William Jalby |
Cache Interference Phenomena. |
SIGMETRICS |
1994 |
DBLP DOI BibTeX RDF |
cache interferences or conflicts, performance evaluation, modeling, data locality, numerical codes |
35 | Ann Marie Grizzaffi Maynard, Colette M. Donnelly, Bret R. Olszewski |
Contrasting Characteristics and Cache Performance of Technical and Multi-User Commercial Workloads. |
ASPLOS |
1994 |
DBLP DOI BibTeX RDF |
operating system activity, technical applications, cache performance, memory subsystems, commercial workloads |
35 | Steven L. Scott, James R. Goodman |
Performance of Pruning-Cache Directories for Large-Scale Multiprocessors. |
IEEE Trans. Parallel Distributed Syst. |
1993 |
DBLP DOI BibTeX RDF |
pruning-cache directories, multilevel inclusion, n-cube topology, bottleneck-free communication, multiprocessor interconnection networks, shared-memory multiprocessors, shared memory systems, storage management, memory architecture, buffer storage, large-scale multiprocessors |
35 | Jeffrey J. Rothschild |
Cache organizations. |
ACM Southeast Regional Conference |
1979 |
DBLP DOI BibTeX RDF |
Buffer memories, computer architecture, cache memories, paging, memory organization |
35 | Jeffrey Stuecheli, Dimitris Kaseridis, David Daly, Hillery C. Hunter, Lizy K. John |
The virtual write queue: coordinating DRAM and last-level cache policies. |
ISCA |
2010 |
DBLP DOI BibTeX RDF |
cmp many-core, ddr ddr2 ddr3, dram-parameters, memory-scheduling writeback, page-mode, write-queue, write-scheduling, dram, cache-replacement, last-level-cache |
35 | Jan Reineke 0001, Daniel Grund, Christoph Berg, Reinhard Wilhelm |
Timing predictability of cache replacement policies. |
Real Time Syst. |
2007 |
DBLP DOI BibTeX RDF |
Predictability, Timing analysis, Hard real-time systems, Cache replacement policies, Cache analysis |
35 | Yaomin Fu, Peter Bodorik, Dawn N. Jutla |
A Self-Managed Predicate-Based Cache. |
CNSR |
2005 |
DBLP DOI BibTeX RDF |
Predicate Cache, Cache Evaluation, Distributed Systems, Storage Management |
35 | Guido Bertoni, Vittorio Zaccaria, Luca Breveglieri, Matteo Monchiero, Gianluca Palermo |
AES Power Attack Based on Induced Cache Miss and Countermeasure. |
ITCC (1) |
2005 |
DBLP DOI BibTeX RDF |
Cache, Block Cipher, AES, Power Analysis, Cache Miss |
35 | Ernesto Jiménez, Antonio Fernández 0001, Vicent Cholvi |
A Parametrized Algorithm that Implements Sequential, Causal, and Cache Memory Consistency. |
PDP |
2002 |
DBLP DOI BibTeX RDF |
Distributed shared memory (DSM), causal and cache consistency models, fast memory operations, full replication of data, invalidation and propagation of data, causal and cache algorithms, sequential, sequential |
35 | Eric Rotenberg, Steve Bennett, James E. Smith 0001 |
A Trace Cache Microarchitecture and Evaluation. |
IEEE Trans. Computers |
1999 |
DBLP DOI BibTeX RDF |
multiple branch prediction, superscalar processors, Instruction cache, trace cache, instruction fetching |
35 | Sanjay J. Patel, Daniel H. Friendly, Yale N. Patt |
Evaluation of Design Options for the Trace Cache Fetch Mechanism. |
IEEE Trans. Computers |
1999 |
DBLP DOI BibTeX RDF |
High bandwidth fetch mechanisms, wide issue machines, speculative execution, instruction cache, trace cache |
35 | F. Jesús Sánchez, Antonio González 0001, Mateo Valero |
Static Locality Analysis for Cache Management. |
IEEE PACT |
1997 |
DBLP DOI BibTeX RDF |
Selective Cache, Dual Data Cache, Locality analysis |
35 | Michael Marchetti, Leonidas I. Kontothanassis, Ricardo Bianchini, Michael L. Scott |
Using simple page placement policies to reduce the cost of cache fills in coherent shared-memory systems. |
IPPS |
1995 |
DBLP DOI BibTeX RDF |
page placement policies, cache fills, OS-based page placement, page replication, performance, shared memory systems, shared-memory systems, storage management, operating systems (computers), cache storage, paged storage, distributed shared memory multiprocessors, page migration |
35 | Yingjie Zhao, Nong Xiao |
Bargain Cache: Using File-System Metadata to Reduce the Cache Miss Penalty. |
PDCAT |
2008 |
DBLP DOI BibTeX RDF |
|
35 | Qinqi Wei, Changsheng Xie, Xu Li |
Stripe-Cache: An Efficient Cache Scheme for Building Multimedia Oriented RAID System. |
HCI (4) |
2007 |
DBLP DOI BibTeX RDF |
|
35 | John Casey, Ashley Chonka, Wanlei Zhou 0001 |
Adjacency Cache: Fast Web Cache Indexing and Lookup. |
ACIS-ICIS |
2007 |
DBLP DOI BibTeX RDF |
|
35 | Antonis Hondroulis, Costas Harizakis, Peter Triantafillou |
Optimal Cache Memory Exploitation for Continuous Media: To Cache or to Prefetch? |
Multim. Tools Appl. |
2004 |
DBLP DOI BibTeX RDF |
simulation, modeling, caching, statistical analysis, prefetching, video streams, multimedia servers |
35 | Jianliang Xu, Qinglong Hu, Wang-Chien Lee, Dik Lun Lee |
An Optimal Cache Replacement Policy for Wireless Data Dissemination under Cache Consistency. |
ICPP |
2001 |
DBLP DOI BibTeX RDF |
|
35 | Stefanos Kaxiras, Zhigang Hu, Girija J. Narlikar, Rae McLellan |
Cache-Line Decay: A Mechanism to Reduce Cache Leakage Power. |
PACS |
2000 |
DBLP DOI BibTeX RDF |
|
35 | Julio Sahuquillo, Ana Pont, Veljko M. Milutinovic |
The Filter Data Cache: A Tour Management Comparison with Related Split Data Cache Schemes Sensitive to Data Localities. |
ISHPC |
2000 |
DBLP DOI BibTeX RDF |
|
31 | Moinuddin K. Qureshi |
Adaptive Spill-Receive for robust high-performance caching in CMPs. |
HPCA |
2009 |
DBLP DOI BibTeX RDF |
|
31 | Kiyeon Lee, Shayne Evans, Sangyeun Cho |
Accurately approximating superscalar processor performance from traces. |
ISPASS |
2009 |
DBLP DOI BibTeX RDF |
|
31 | Mehrtash Manoochehri, Alireza Ejlali, Seyed Ghassem Miremadi |
Joint write policy and fault-tolerance mechanism selection for caches in DSM technologies: Energy-reliability trade-off. |
ISQED |
2009 |
DBLP DOI BibTeX RDF |
|
31 | Mohammad Hammoud, Sangyeun Cho, Rami G. Melhem |
ACM: An Efficient Approach for Managing Shared Caches in Chip Multiprocessors. |
HiPEAC |
2009 |
DBLP DOI BibTeX RDF |
|
31 | Fang Liu, Fei Guo, Yan Solihin, Seongbeom Kim, Abdulaziz Eker |
Characterizing and modeling the behavior of context switch misses. |
PACT |
2008 |
DBLP DOI BibTeX RDF |
context switch misses, stack distance profiling, prefetching, analytical model |
31 | Lei Jin 0002, Sangyeun Cho |
Taming Single-Thread Program Performance on Many Distributed On-Chip L2 Caches. |
ICPP |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Madhu Mutyam, Narayanan Vijaykrishnan |
Working with process variation aware caches. |
DATE |
2007 |
DBLP DOI BibTeX RDF |
|
31 | Sangyeun Cho, Lei Jin 0002, Kiyeon Lee |
Achieving Predictable Performance with On-Chip Shared L2 Caches for Manycore-Based Real-Time Systems. |
RTCSA |
2007 |
DBLP DOI BibTeX RDF |
|
31 | Jugash Chandarlapati, Mainak Chaudhuri |
LEMap: Controlling leakage in large chip-multiprocessor caches via profile-guided virtual address translation. |
ICCD |
2007 |
DBLP DOI BibTeX RDF |
|
31 | Jaume Abella 0001, Antonio González 0001 |
SAMIE-LSQ: set-associative multiple-instruction entry load/store queue. |
IPDPS |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Vasileios Liaskovitis, Shimin Chen, Phillip B. Gibbons, Anastassia Ailamaki, Guy E. Blelloch, Babak Falsafi, Limor Fix, Nikos Hardavellas, Michael Kozuch, Todd C. Mowry, Chris Wilkerson |
Parallel depth first vs. work stealing schedulers on CMP architectures. |
SPAA |
2006 |
DBLP DOI BibTeX RDF |
scheduling, caches, chip multiprocessors |
31 | Theo Härder |
Caching over the Entire User-to-Data Path in the Internet. |
Data Management in a Connected World |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Jia-Jhe Li, Yuan-Shin Hwang |
Snug set-associative caches: reducing leakage power while improving performance. |
ISLPED |
2005 |
DBLP DOI BibTeX RDF |
leakage power, set-associative caches |
31 | Krishnan Murugan, Sivasankar, Balaji Coimbatore GaneshSankar, Sethu Shanmugavel |
Implementation and Performance Study of Route Caching Mechanisms in DSR and HER Routing Algorithms for MANET. |
ISPA |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Xiaoqin Ma, Gene Cooperman |
Fast Query Processing by Distributing an Index over CPU Caches. |
CLUSTER |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Lakshmish Ramaswamy, Ling Liu 0001 |
An Expiration Age-Based Document Placement Scheme for Cooperative Web Caching. |
IEEE Trans. Knowl. Data Eng. |
2004 |
DBLP DOI BibTeX RDF |
Cooperative Web caching, document placement, distributed caching |
31 | Jie S. Hu, Narayanan Vijaykrishnan, Mary Jane Irwin, Mahmut T. Kandemir |
Using Dynamic Branch Behavior for Power-Efficient Instruction Fetch. |
ISVLSI |
2003 |
DBLP DOI BibTeX RDF |
|
31 | Andrei Z. Broder, Marc Najork, Janet L. Wiener |
Efficient URL caching for world wide web crawling. |
WWW |
2003 |
DBLP DOI BibTeX RDF |
URL caching, distributed crawlers, web graph models, caching, crawling, web crawlers |
31 | Carey L. Williamson |
On filter effects in web caching hierarchies. |
ACM Trans. Internet Techn. |
2002 |
DBLP DOI BibTeX RDF |
Web proxy caching hierarchies, Performance evaluation, World-Wide-Web, Web performance |
31 | G. Edward Suh, Srinivas Devadas, Larry Rudolph |
A New Memory Monitoring Scheme for Memory-Aware Scheduling and Partitioning. |
HPCA |
2002 |
DBLP DOI BibTeX RDF |
|
31 | Emmett Witchel, Samuel Larsen, C. Scott Ananian, Krste Asanovic |
Direct addressed caches for reduced power consumption. |
MICRO |
2001 |
DBLP DOI BibTeX RDF |
|
31 | Athena Vakali |
A Web-Based Evolutionary Model for Internet Data Caching. |
DEXA Workshops |
1999 |
DBLP DOI BibTeX RDF |
Web-based object caching, evolutionary computation algorithms, Internet applications |
31 | Junho Shim, Peter Scheuermann, Radek Vingralek |
Dynamic Caching of Query Results for Decision Support Systems. |
SSDBM |
1999 |
DBLP DOI BibTeX RDF |
|
31 | Nikolaos Bellas, Ibrahim N. Hajj, George D. Stamoulis, Constantine D. Polychronopoulos |
Architectural and compiler support for energy reduction in the memory hierarchy of high performance microprocessors. |
ISLPED |
1998 |
DBLP DOI BibTeX RDF |
|
31 | Rabin A. Sugumar, Santosh G. Abraham |
Efficient Simulation of Caches under Optimal Replacement with Applications to Miss Characterization. |
SIGMETRICS |
1993 |
DBLP DOI BibTeX RDF |
|
31 | Prabuddha Biswas, K. K. Ramakrishnan |
Trace Driven Analysis of Write Caching Policies for Disks. |
SIGMETRICS |
1993 |
DBLP DOI BibTeX RDF |
|
31 | Senthil Krishnamoorthy, Alok N. Choudhary |
An Evaluation of Set-Associativity in Two-Level Caches for Shared Memory Multiprocessors. |
PARLE |
1992 |
DBLP DOI BibTeX RDF |
|
31 | Shekhar Srikantaiah, Mahmut T. Kandemir, Mary Jane Irwin |
Adaptive set pinning: managing shared caches in chip multiprocessors. |
ASPLOS |
2008 |
DBLP DOI BibTeX RDF |
inter-processor, intra-processor, set pinning, CMP, shared cache |
31 | Chun-Mok Chung, Jihong Kim 0001, Dohyung Kim |
Reducing snoop-energy in shared bus-based mpsocs by filtering useless broadcasts. |
ACM Great Lakes Symposium on VLSI |
2007 |
DBLP DOI BibTeX RDF |
broadcast filtering, low-energy cache coherency, MPSoC |
31 | Sumesh Udayakumaran, Angel Dominguez, Rajeev Barua |
Dynamic allocation for scratch-pad memory using compile-time decisions. |
ACM Trans. Embed. Comput. Syst. |
2006 |
DBLP DOI BibTeX RDF |
embedded systems, compiler, Memory allocation, software caching, scratch pad, software-managed cache |
31 | Antonio Martí Campoy, Eugenio Tamura, Sergio Sáez, Francisco Rodríguez 0003, José V. Busquets-Mataix |
On Using Locking Caches in Embedded Real-Time Systems. |
ICESS |
2005 |
DBLP DOI BibTeX RDF |
genetic algorithms, performance evaluation, predictability, response time, Cache memories, schedulability analysis, execution time, embedded real-time systems |
31 | Susanne Albers |
New results on web caching with request reordering. |
SPAA |
2004 |
DBLP DOI BibTeX RDF |
cache, approximation, document, competitive, online, batch, offline |
31 | Anastassia Ailamaki, David J. DeWitt, Mark D. Hill |
Data page layouts for relational databases on deep memory hierarchies. |
VLDB J. |
2002 |
DBLP DOI BibTeX RDF |
Relational data placement, Disk page layout, Cache-conscious database systems |
31 | Hilla Atzmon, Roy Friedman, Roman Vitenberg |
Replacement Policies for a Distributed Object Caching Service. |
OTM |
2002 |
DBLP DOI BibTeX RDF |
CORBA, Cache Replacement Policies, Object Caching |
31 | Fabian Wolf, Jan Staschulat, Rolf Ernst |
Associative caches in formal software timing analysis. |
DAC |
2002 |
DBLP DOI BibTeX RDF |
real-time, timing analysis, embedded software, cache analysis |
31 | Wen-Tsong Shiue, Sathishkumar Udayanarayanan, Chaitali Chakrabarti |
Data memory design and exploration for low-power embedded systems. |
ACM Trans. Design Autom. Electr. Syst. |
2001 |
DBLP DOI BibTeX RDF |
Data cache, search space pruning |
31 | Philip Bohannon, Peter McIlroy, Rajeev Rastogi |
Main-Memory Index Structures with Fixed-Size Partial Keys. |
SIGMOD Conference |
2001 |
DBLP DOI BibTeX RDF |
T-tree, main-memory indices, cache coherence, B-trees, key compression |
31 | Vegard Holmedahl, Ben Smith, Tao Yang 0009 |
Cooperative Caching of Dynamic Content on a Distributed Web Server. |
HPDC |
1998 |
DBLP DOI BibTeX RDF |
dynamic content caching scalable Web servers, SWEB, distributed web server, CGI caching, dynamic requests, digital libraries, caching, Web servers, cooperative caching, cache consistency, CGI |
31 | Evan Torrie, Margaret Martonosi, Chau-Wen Tseng, Mary W. Hall |
Characterizing the Memory Behavior of Compiler-Parallelized Applications. |
IEEE Trans. Parallel Distributed Syst. |
1996 |
DBLP DOI BibTeX RDF |
false and true sharing, parallelism granularity, memory hierarchies, shared-memory multiprocessors, Parallelizing compilers, cache performance |
31 | Jiang Lin, Qingda Lu, Xiaoning Ding, Zhao Zhang 0010, Xiaodong Zhang 0001, P. Sadayappan |
Enabling software management for multicore caches with a lightweight hardware support. |
SC |
2009 |
DBLP DOI BibTeX RDF |
multicore, shared cache, cache management |
31 | Arne Maus, Stein Gjessing |
A Model for the Effect of Caching on Algorithmic Efficiency in Radix based Sorting. |
ICSEA |
2007 |
DBLP DOI BibTeX RDF |
cache friendly algorithms, caches, sorting, cache models, radix |
31 | Afrin Naz, Krishna M. Kavi, Jung-Hwan Oh 0001, Pierfrancesco Foglia |
Reconfigurable split data caches: a novel scheme for embedded systems. |
SAC |
2007 |
DBLP DOI BibTeX RDF |
split cache, embedded systems, cache, locality, reconfigurability |
31 | Xueyan Tang, Samuel T. Chanson |
Coordinated En-Route Web Caching. |
IEEE Trans. Computers |
2002 |
DBLP DOI BibTeX RDF |
web cache management, web object placement, transparent web cache, performance evaluation, World Wide Web, dynamic programming, Web caching |
31 | Thomas M. Conte, Sanjeev Banerjia, Sergei Y. Larin, Kishore N. Menezes, Sumedh W. Sathaye |
Instruction Fetch Mechanisms for VLIW Architectures with Compressed Encodings. |
MICRO |
1996 |
DBLP DOI BibTeX RDF |
TINKER experimental testbed, compressed encodings, compressed instruction encoding, i-fetch hardware, instruction fetch mechanisms, instruction words, multiple instruction issue, silo cache, parallel architectures, trace-driven simulations, instruction cache, VLIW architectures |
31 | Gyungho Lee |
An assessment of COMA multiprocessors. |
IPPS |
1995 |
DBLP DOI BibTeX RDF |
Cache Only Memory Architecture, Perfect Club Benchmark Suite, coherence policy, performance evaluation, performance, discrete event simulation, memory hierarchy, shared memory systems, distributed memory systems, update, trace driven simulations, cache storage, network traffic, miss ratio, distributed shared memory multiprocessors, shared address space, invalidate |
31 | Shekhar Srikantaiah, Mahmut T. Kandemir, Qian Wang |
SHARP control: controlled shared cache management in chip multiprocessors. |
MICRO |
2009 |
DBLP DOI BibTeX RDF |
|
31 | Carlos E. Gómez, María-Del-Pilar Villamil, Harold E. Castro, Laurent d'Orazio |
DHTCache: A Distributed Service to Improve the Selection of Cache Configurations within a Highly-Distributed Context. |
Globe |
2009 |
DBLP DOI BibTeX RDF |
|
31 | Cuiping Xu, Ge Zhang, Shouqing Hao |
Fast Way-Prediction Instruction Cache for Energy Efficiency and High Performance. |
NAS |
2009 |
DBLP DOI BibTeX RDF |
|
31 | Han Wan, Xiaopeng Gao, Xiang Long, Zhiqiang Wang |
GCSim: A GPU-Based Trace-Driven Simulator for Multi-level Cache. |
APPT |
2009 |
DBLP DOI BibTeX RDF |
parallel algorithms, caches, GPGPU, CUDA, trace-driven simulation |
31 | Shekhar Srikantaiah, Reetuparna Das, Asit K. Mishra, Chita R. Das, Mahmut T. Kandemir |
A case for integrated processor-cache partitioning in chip multiprocessors. |
SC |
2009 |
DBLP DOI BibTeX RDF |
|
31 | Arno Moonen, Marco Bekooij, René van den Berg, Jef L. van Meerbergen |
Cache Aware Mapping of Streaming Applications on a Multiprocessor System-on-Chip. |
DATE |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Timothy M. Jones 0001, Sandro Bartolini, Bruno De Bus, John Cavazos, Michael F. P. O'Boyle |
Instruction Cache Energy Saving Through Compiler Way-Placement. |
DATE |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Roberto Giorgi, Paolo Bennati |
Reducing Leakage through Filter Cache. |
DSD |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Jiang Lin, Qingda Lu, Xiaoning Ding, Zhao Zhang 0010, Xiaodong Zhang 0001, P. Sadayappan |
Gaining insights into multicore cache partitioning: Bridging the gap between simulation and real systems. |
HPCA |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Minghua Tang, Xiaola Lin |
A Novel Scheme to Balance the Cache Sharing in High Performance Computing System. |
HPCC |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Hideya Ochiai, Hiroshi Esaki |
Accuracy-Based Cache Consistency Management for Numerical Object Replication. |
SAINT |
2008 |
DBLP DOI BibTeX RDF |
Numerical Object, Replication, Consistency |
31 | Bach Duy Bui, Marco Caccamo, Lui Sha, Joseph Martinez |
Impact of Cache Partitioning on Multi-tasking Real Time Embedded Systems. |
RTCSA |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Andres Medina, Stephan Bohacek |
A model of topology cache in reactive routing protocols for MANETs. |
WICON |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Prasanth Mangalagiri, Karthik Sarpatwari, Aditya Yanamandra, Vijaykrishnan Narayanan, Yuan Xie 0001, Mary Jane Irwin, Osama Awadel Karim |
A low-power phase change memory based hybrid cache architecture. |
ACM Great Lakes Symposium on VLSI |
2008 |
DBLP DOI BibTeX RDF |
PRAM, phase change memory |
31 | Michael J. Geiger, Sally A. McKee, Gary S. Tyson |
Specializing Cache Structures for High Performance and Energy Conservation in Embedded Systems. |
Trans. High Perform. Embed. Archit. Compil. |
2007 |
DBLP DOI BibTeX RDF |
|
31 | Xiaotong Zhuang, Hsien-Hsin S. Lee |
Reducing Cache Pollution via Dynamic Data Prefetch Filtering. |
IEEE Trans. Computers |
2007 |
DBLP DOI BibTeX RDF |
Prefetch, microarchitecture, memory subsystems |
31 | Zhen He, Alonso Marquez |
Path and cache conscious prefetching (PCCP). |
VLDB J. |
2007 |
DBLP DOI BibTeX RDF |
Clustering, Databases, Caching, Prefetching |
Displaying result #601 - #700 of 15666 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ 15][ 16][ >>] |
|