|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 3212 occurrences of 1532 keywords
|
|
|
Results
Found 5103 publication records. Showing 5103 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
14 | Watts S. Humphrey, James W. Over |
The Personal Software Process (PSP): A Full-Day Tutorial. |
ICSE |
1997 |
DBLP DOI BibTeX RDF |
personal process, testing, measurement, software, quality, process, estimating, productivity, TSP, process improvement, yield, defects, data, PROBE, PSP, discipline |
14 | Steven D. Millman |
Improving quality: Yield versus test coverage. |
J. Electron. Test. |
1994 |
DBLP DOI BibTeX RDF |
quality, Fault modeling, yield, test economics, physical defects |
14 | Peter C. Maxwell, Robert C. Aitken |
IDDQ testing as a component of a test suite: The need for several fault coverage metrics. |
J. Electron. Test. |
1992 |
DBLP DOI BibTeX RDF |
quality, fault coverage, scan, functional testing, Current testing, physical defects |
14 | Jerry M. Soden, Charles F. Hawkins, Ravi K. Gulati, Weiwei Mao |
IDDQ testing: A review. |
J. Electron. Test. |
1992 |
DBLP DOI BibTeX RDF |
IC quality, fault models, defects, Current testing, CMOS IC, I DDQ |
14 | Dhamin Al-Khalili, Côme Rozon, B. Stewart |
Testability analysis and fault modeling of BiCMOS circuits. |
J. Electron. Test. |
1992 |
DBLP DOI BibTeX RDF |
modeling, testability, faults, defects, BiCMOS |
14 | Adit D. Singh, Hee Yong Youn |
A Modular Fault-Tolerant Binary Tree Architecture with Short Links. |
IEEE Trans. Computers |
1991 |
DBLP DOI BibTeX RDF |
binary tree architecture, operational faults, fabrication defects, board level multichip designs, SOFT approach, fault-tolerant, VLSI, fault tolerant computing, computer architecture |
14 | Gurindar S. Sohi |
Cache Memory Organization to Enhance the Yield of High-Performance VLSI Processors. |
IEEE Trans. Computers |
1989 |
DBLP DOI BibTeX RDF |
cache memory organization, high performance VLSI processors, tolerance of defects faults, linear RAMs, trace-driven simulation analysis, storage management chips, VLSI, yield, fault location, buffer storage, performance degradation, random-access storage, integrated memory circuits |
12 | Jason Cong, Kirill Minkovich |
LUT-based FPGA technology mapping for reliability (abstract only). |
FPGA |
2010 |
DBLP DOI BibTeX RDF |
logic synthesis, error analysis, windowing, technology mapping, don't cares, fpga lookup table |
12 | Umer Farooq, León Welicki, Dieter Zirkler |
API usability peer reviews: a method for evaluating the usability of application programming interfaces. |
CHI |
2010 |
DBLP DOI BibTeX RDF |
api usability, usability breakdowns, usability evaluation method (uem), usability inspection, software bugs |
12 | Nicolas Palix, Julia Lawall, Gilles Muller |
Tracking code patterns over multiple software versions with Herodotos. |
AOSD |
2010 |
DBLP DOI BibTeX RDF |
Herodotos, bug tracking, history of pattern occurrences |
12 | Hao Zhong 0001, Suresh Thummalapenta, Tao Xie 0001, Lu Zhang 0023, Qing Wang |
Mining API mapping for language migration. |
ICSE (1) |
2010 |
DBLP DOI BibTeX RDF |
API mapping relation, language migration |
12 | Yoonki Song, Xiaoyin Wang, Tao Xie 0001, Lu Zhang 0023, Hong Mei 0001 |
JDF: detecting duplicate bug reports in Jazz. |
ICSE (2) |
2010 |
DBLP DOI BibTeX RDF |
execution information, information retrieval, bug report |
12 | Jason Cong, Kirill Minkovich |
LUT-based FPGA technology mapping for reliability. |
DAC |
2010 |
DBLP DOI BibTeX RDF |
logic synthesis, error analysis, windowing, technology mapping, don't cares, FPGA lookup table |
12 | Du-Ming Tsai, Su-Ta Chuang |
1D-based defect detection in patterned TFT-LCD panels using characteristic fractal dimension and correlations. |
Mach. Vis. Appl. |
2009 |
DBLP DOI BibTeX RDF |
Characteristic fractal dimension, Line images, Defect detection, Normalized cross correlation, TFT-LCD |
12 | Sakura She, Sasindran Sivapalan, Ian Warren |
Hermes: A Tool for Testing Mobile Device Applications. |
Australian Software Engineering Conference |
2009 |
DBLP DOI BibTeX RDF |
|
12 | Martin Schmettow |
Controlling the usability evaluation process under varying defect visibility. |
BCS HCI |
2009 |
DBLP BibTeX RDF |
count data models, usability business, reliability, usability evaluation, maximum likelihood, process control |
12 | Amin Ansari, Shantanu Gupta, Shuguang Feng, Scott A. Mahlke |
ZerehCache: armoring cache architectures in high defect density technologies. |
MICRO |
2009 |
DBLP DOI BibTeX RDF |
fault-tolerant cache, process variation, manufacturing yield |
12 | Chung-Ho Noh, Seok-Lyong Lee, Deok-Hwan Kim, Chin-Wan Chung |
Effective defect classification for flat display panel film images. |
ICHIT |
2009 |
DBLP DOI BibTeX RDF |
flat display panel, image analysis, shape descriptor, defect classification |
12 | Ming-Chien Tsai, Ching-Hwa Cheng |
A full-synthesizable high-precision built-in delay time measurement circuit. |
ASP-DAC |
2009 |
DBLP DOI BibTeX RDF |
|
12 | Eusebio de la Fuente López, Félix Miguel Trespaderne |
Inspection of Stamped Sheet Metal Car Parts Using a Multiresolution Image Fusion Technique. |
ICVS |
2009 |
DBLP DOI BibTeX RDF |
|
12 | Andriy V. Miranskyy, Enzo Cialini, David Godwin |
Selection of customers for operational and usage profiling. |
DBTest |
2009 |
DBLP DOI BibTeX RDF |
customer usage, data mining, optimization, customers, operational profile |
12 | Ali Jannesari, Kaibin Bao, Victor Pankratius, Walter F. Tichy |
Helgrind+: An efficient dynamic race detector. |
IPDPS |
2009 |
DBLP DOI BibTeX RDF |
|
12 | Yean Yin, Ke Zhang, WenBing Lu |
Textile Flaw Classification by Wavelet Reconstruction and BP Neural Network. |
ISNN (2) |
2009 |
DBLP DOI BibTeX RDF |
Textile flaw detection, Histogram, BP neural network |
12 | Joseph Hassoun |
Resiliency in Elemental Computing. |
ARC |
2009 |
DBLP DOI BibTeX RDF |
|
12 | Addisson Salazar, Arturo Serrano, Raul Llinares, Luis Vergara, Jorge Igual |
ICA Mixture Modeling for the Classification of Materials in Impact-Echo Testing. |
ICA |
2009 |
DBLP DOI BibTeX RDF |
ICA mixtures, Non-destructive evaluation, Impact-echo testing, ICA |
12 | Shujiang Yang, Mohammed Lach-hab, Iosif I. Vaisman, Estela Blaisten-Barojas |
A Cheminformatics Approach for Zeolite Framework Determination. |
ICCS (2) |
2009 |
DBLP DOI BibTeX RDF |
|
12 | Jewgenij Botaschanjan, Benjamin Hummel |
Specifying the worst case: orthogonal modeling of hardware errors. |
ISSTA |
2009 |
DBLP DOI BibTeX RDF |
af/stem case tool, error filters, error mode specification, modelling hardware errors, error models |
12 | Lorenzo Martignoni, Roberto Paleari, Giampaolo Fresi Roglia, Danilo Bruschi |
Testing CPU emulators. |
ISSTA |
2009 |
DBLP DOI BibTeX RDF |
software testing, emulation, automatic test generation, fuzzing |
12 | Sylvie Trudel, Alain Abran |
Functional Size Measurement Quality Challenges for Inexperienced Measurers. |
IWSM/Mensura |
2009 |
DBLP DOI BibTeX RDF |
Functional size, COSMIC - ISO 19761, measure, quality, FSM |
12 | Rachel Aine Yotter, Robert Dahnke, Christian Gaser |
Topological Correction of Brain Surface Meshes Using Spherical Harmonics. |
MICCAI (1) |
2009 |
DBLP DOI BibTeX RDF |
|
12 | Mehdi Baradaran Tahoori |
BISM: built-in self map for hybrid crossbar nano-architectures. |
ACM Great Lakes Symposium on VLSI |
2009 |
DBLP DOI BibTeX RDF |
crossbar array, emerging nanotechnologies, logic mapping |
12 | Sreeranga P. Rajan, Oksana Tkachuk, Mukul R. Prasad, Indradeep Ghosh, Nitin Goel, Tadahiro Uehara |
WEAVE: WEb Applications Validation Environment. |
ICSE Companion |
2009 |
DBLP DOI BibTeX RDF |
|
12 | Matteo Cacciola, Salvatore Calcagno 0001, Filippo Laganá, Giuseppe Megali, Diego Pellicanò, Mario Versaci, Francesco Carlo Morabito |
Advanced Integration of Neural Networks for Characterizing Voids in Welded Strips. |
ICANN (2) |
2009 |
DBLP DOI BibTeX RDF |
Void Characterization, Welded strips, Rotating Magnetic Field, Neural Networks |
12 | Nilanjan Mukherjee 0001, Janusz Rajski, Jerzy Tyszer |
Defect Aware to Power Conscious Tests - The New DFT Landscape. |
VLSI Design |
2009 |
DBLP DOI BibTeX RDF |
|
12 | Thomas Zimmermann 0001 |
Changes and bugs - Mining and predicting development activities. |
ICSM |
2009 |
DBLP DOI BibTeX RDF |
|
12 | Martin Dimitrov, Huiyang Zhou |
Anomaly-based bug prediction, isolation, and validation: an automated approach for software debugging. |
ASPLOS |
2009 |
DBLP DOI BibTeX RDF |
automated debugging, architectural support |
12 | Mohamed El-Attar 0001, James Miller 0001 |
Producing robust use case diagrams via reverse engineering of use case descriptions. |
Softw. Syst. Model. |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Erkan Acar, Sule Ozev |
Defect-Oriented Testing of RF Circuits. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Zhanglei Wang, Krishnendu Chakrabarty |
Test-Quality/Cost Optimization Using Output-Deviation-Based Reordering of Test Patterns. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Minsik Cho, Hua Xiang 0001, Ruchir Puri, David Z. Pan |
Track Routing and Optimization for Yield. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Roberto Gómez 0001, Alejandro Girón, Víctor H. Champac |
A Test Generation Methodology for Interconnection Opens Considering Signals at the Coupled Lines. |
J. Electron. Test. |
2008 |
DBLP DOI BibTeX RDF |
Interconnection opens, Boolean testing, Favorable logic conditions, Test generation methodology, Coupling capacitances |
12 | Lei Fang 0002, Michael S. Hsiao |
Bilateral Testing of Nano-scale Fault-Tolerant Circuits. |
J. Electron. Test. |
2008 |
DBLP DOI BibTeX RDF |
Bilateral fault model, Fault-tolerant, ATPG, Nanoelectronics |
12 | Jeffrey C. Carver, Nachiappan Nagappan, Alan Page |
The Impact of Educational Background on the Effectiveness of Requirements Inspections: An Empirical Study. |
IEEE Trans. Software Eng. |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Neelam Soundarajan, Jason O. Hallstrom, Guoqiang Shu, Adem Delibas |
Patterns: from system design to software testing. |
Innov. Syst. Softw. Eng. |
2008 |
DBLP DOI BibTeX RDF |
Testing, Design patterns, Contracts |
12 | Erik Jan Marinissen |
Bugs, moths, grasshoppers, and whales. |
IEEE Des. Test Comput. |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Krzysztof J. Opielinski, Tadeusz Gudra, Joachim Migda |
Computer Ultrasonic Imaging of the Tongue Shape Changes in the Process of Articulation of Vowels. |
Computer Recognition Systems 2 |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Simon Baker, Fiora Au, Gillian Dobbie, Ian Warren |
Automated Usability Testing Using HUI Analyzer. |
Australian Software Engineering Conference |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Pavel Kisilev |
Automatic context-aware dust and scratch removal in scanned images. |
ICIP |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Haihao Shen, Sai Zhang 0001, Jianjun Zhao 0001, Jianhong Fang, Shiyuan Yao |
XFindBugs: eXtended FindBugs for AspectJ. |
PASTE |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Hidetake Uwano, Akito Monden, Ken-ichi Matsumoto |
DRESREM 2: An Analysis System for Multi-document Software Review Using Reviewers' Eye Movements. |
ICSEA |
2008 |
DBLP DOI BibTeX RDF |
|
12 | V. Suma 0001, T. R. Gopalakrishnan Nair |
Enhanced Approaches in Defect Detection and Prevention Strategies in Small and Medium Scale Industries. |
ICSEA |
2008 |
DBLP DOI BibTeX RDF |
Defect detection and Prevention, Software Engineering, Testing, Software Quality, Software Process, Inspections |
12 | Hamid Mcheick, Aymen Sioud, Joumana Dargham |
An Object Memory Management Prototype Based on Mark and Sweep Algorithm Using Separation of Concerns. |
ICSEA |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Rui Abreu 0001, Alberto González 0002, Peter Zoeteweij, Arjan J. C. van Gemund |
Automatic software fault localization using generic program invariants. |
SAC |
2008 |
DBLP DOI BibTeX RDF |
black box diagnosis, error detection, fault localization, program invariants, program spectra |
12 | Chia-Chi Teng, Linda G. Shapiro, Richard A. Hopper, Jon Ver Halen |
Pediatric cranial defect surface analysis for craniosynostosis postoperation CT images. |
ISBI |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Yen-Tzu Lin, Osei Poku, Naresh K. Bhatti, Ronald D. Blanton |
Physically-Aware N-Detect Test Pattern Selection. |
DATE |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Swaroop Ghosh, Patrick Ndai, Kaushik Roy 0001 |
A Novel Low Overhead Fault Tolerant Kogge-Stone Adder Using Adaptive Clocking. |
DATE |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Thilo Streichert, Michael Glaß, Rolf Wanka, Christian Haubelt, Jürgen Teich |
Topology-Aware Replica Placement in Fault-Tolerant Embedded Networks. |
ARCS |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Shuo Wang, Jianwei Dai, Lei Wang 0003 |
Defect-tolerant digital filtering with unreliable molecular electronics. |
SiPS |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Miguel E. R. Bezerra, Adriano L. I. Oliveira, Paulo J. L. Adeodato, Silvio R. L. Meira |
Enhancing RBF-DDA Algorithm's Robustness: Neural Networks Applied to Prediction of Fault-Prone Software Modules. |
IFIP AI |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Jianquan Dong, Chao Tan, Yunqi Zhang |
A Reputation Evaluation Method in P2P Anonymous Environment. |
ICYCS |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Brian Robinson, Lee J. White |
Testing of User-Configurable Software Systems Using Firewalls. |
ISSRE |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Kouhi Shinohara, Minoru Watanabe |
Defect tolerance of holographic configurations in ORGAs. |
IPDPS |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Alexandre Mallet, Lionel Gueguen, Mihai Datcu |
Complexity Based Image Artifact Detection. |
DCC |
2008 |
DBLP DOI BibTeX RDF |
images artifacts, kolmogorov complexity |
12 | Lingxiao Jiang, Zhendong Su 0001 |
Profile-guided program simplification for effective testing and analysis. |
SIGSOFT FSE |
2008 |
DBLP DOI BibTeX RDF |
testing and analysis, profiling, statistical debugging, program simplification |
12 | Nuo Li, JeeHyun Hwang, Tao Xie 0001 |
Multiple-implementation testing for XACML implementations. |
TAV-WEB |
2008 |
DBLP DOI BibTeX RDF |
multiple-implementation testing, policy decision point, testing, XACML, access control policy |
12 | Matthew Harker, Paul O'Leary |
Least squares surface reconstruction from measured gradient fields. |
CVPR |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Cyrille Artho |
Iterative Delta Debugging. |
Haifa Verification Conference |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Thomas J. Ostrand, Elaine J. Weyuker |
Progress in Automated Software Defect Prediction. |
Haifa Verification Conference |
2008 |
DBLP DOI BibTeX RDF |
negative binomial model, automated tool, software fault prediction |
12 | Mingjie Lin, Steve Ferguson, Yaling Ma, Timothy Greene |
HAFT: A hybrid FPGA with amorphous and fault-tolerant architecture. |
ISCAS |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Tiejun Pan, Leina Zheng, Chengbin Fang |
Defect Tracing System Based on Orthogonal Defect Classification. |
CSSE (2) |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Giovanni Aiello, Emanuele Dilettoso, Nunzio Salerno |
Development of a Finite Element Code for Non-Destructive Evaluation of Concrete Structures by Means of Ultrasonic Waves. |
ICCSA (2) |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Barbara Cannas, Sara Carcangiu, Francesca Cau, Alessandra Fanni, Augusto Montisci |
Time and Frequency Approaches to Non Destructive Testing in Concrete Pillars Using Neural Networks. |
ICCSA (2) |
2008 |
DBLP DOI BibTeX RDF |
neural networks, ultrasonic waves, Non Destructive Testing |
12 | Antonino Musolino, Marco Raugi, Mauro Tucci, Florin Turcu |
Time, Wavelet and Hilbert-Huang Domain Analysis of Signals from Ultrasonic Based Equipment for the Non Destructive Evaluation of Concrete and Brick Masonry Walls. |
ICCSA (2) |
2008 |
DBLP DOI BibTeX RDF |
Magnetostrictive transducers and sensors, Wavelet transform, Hilbert-Huang transform, Ultrasonic waves, Non Destructive Test |
12 | Raimund Moser, Witold Pedrycz, Giancarlo Succi |
Analysis of the reliability of a subset of change metrics for defect prediction. |
ESEM |
2008 |
DBLP DOI BibTeX RDF |
feature selection, software metrics, defect prediction |
12 | Philipp V. Panitz, Markus Olbrich, Erich Barke, Markus Bühler, Jürgen Koehl |
Considering possible opens in non-tree topology wire delay calculation. |
ACM Great Lakes Symposium on VLSI |
2008 |
DBLP DOI BibTeX RDF |
non-tree topologies, yield, static timing analysis, delay analysis |
12 | Michael T. Niemier, Michael Crocker, Xiaobo Sharon Hu |
Fabrication Variations and Defect Tolerance for Nanomagnet-Based QCA. |
DFT |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Yoonjae Huh, Yoon-Hwa Choi |
Module Grouping for Defect Tolerance in Nanoscale Memory. |
DFT |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Jason G. Brown, R. D. (Shawn) Blanton |
Automated Standard Cell Library Analysis for Improved Defect Modeling. |
ISQED |
2008 |
DBLP DOI BibTeX RDF |
test generation, diagnosis, fault simulation, fault, defect |
12 | Juan Li 0001, Lishan Hou, Zhongsen Qin, Qing Wang 0001, Guisheng Chen |
An Empirically-Based Process to Improve the Practice of Requirement Review. |
ICSP |
2008 |
DBLP DOI BibTeX RDF |
requirement review, requirement quality characteristic, quantitative, role-based |
12 | Arkady N. Soloviev, Polina S. Kourbatova, Nikolai I. Saprounov, Sergey N. Shevtsov |
The Neural Networks Approach to Identification of Local Damages in Elastic Structures. |
NAA |
2008 |
DBLP DOI BibTeX RDF |
defect’s reconstruction, learning sets, identification, finite element, Perceptron |
12 | Ming-Chien Tsai, Ching-Hwa Cheng, Chiou-Mao Yang |
An All-Digital High-Precision Built-In Delay Time Measurement Circuit. |
VTS |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Gursimran Singh Walia, Jeffrey C. Carver, Nachiappan Nagappan |
The effect of the number of inspectors on the defect estimates produced by capture-recapture models. |
ICSE |
2008 |
DBLP DOI BibTeX RDF |
capture-recapture models, requirements, inspections, validation and verification |
12 | Uvais Qidwai, Mohammed Maqbool |
On hybrid-fuzzy classifier design: An empirical modeling scenario for corrosion detection in gas pipelines. |
AICCSA |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Srikanth Venkataraman, Nagesh Tamarapalli |
DFM / DFT / SiliconDebug / Diagnosis. |
VLSI Design |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Adit D. Singh |
Scan Delay Testing of Nanometer SoCs. |
VLSI Design |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Marco D'Ambros |
Supporting software evolution analysis with historical dependencies and defect information. |
ICSM |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Antonio Zenteno Ramírez, Guillermo Espinosa, Víctor H. Champac |
Design-for-Test Techniques for Opens in Undetected Branches in CMOS Latches and Flip-Flops. |
IEEE Trans. Very Large Scale Integr. Syst. |
2007 |
DBLP DOI BibTeX RDF |
|
12 | Pallav Gupta, Niraj K. Jha, Loganathan Lingappan |
A Test Generation Framework for Quantum Cellular Automata Circuits. |
IEEE Trans. Very Large Scale Integr. Syst. |
2007 |
DBLP DOI BibTeX RDF |
|
12 | Les Hatton |
Empirical Test Observations in Client-Server Systems. |
Computer |
2007 |
DBLP DOI BibTeX RDF |
language parsing, software testing, client-server systems |
12 | Kypros Constantinides, Stephen Plaza, Jason A. Blome, Valeria Bertacco, Scott A. Mahlke, Todd M. Austin, Bin Zhang 0011, Michael Orshansky |
Architecting a reliable CMP switch architecture. |
ACM Trans. Archit. Code Optim. |
2007 |
DBLP DOI BibTeX RDF |
CMP switch, reliability, defect-tolerance |
12 | Tad Hogg, Greg Snider |
Defect-tolerant Logic with Nanoscale Crossbar Circuits. |
J. Electron. Test. |
2007 |
DBLP DOI BibTeX RDF |
fault modeling, nanotechnology, molecular electronics, circuit reliability |
12 | Rahul Telang, Sunil Wattal |
An Empirical Analysis of the Impact of Software Vulnerability Announcements on Firm Stock Price. |
IEEE Trans. Software Eng. |
2007 |
DBLP DOI BibTeX RDF |
software vendors, quality, Information security, patching, software vulnerability, event-study |
12 | Wes Masri, Andy Podgurski, David Leon |
An Empirical Study of Test Case Filtering Techniques Based on Exercising Information Flows. |
IEEE Trans. Software Eng. |
2007 |
DBLP DOI BibTeX RDF |
test case filtering, coverage-based filtering, distribution-based filtering, software testing, empirical studies, Information flow, dynamic slicing, test suite minimization |
12 | Xianghua Xie, Majid Mirmehdi |
TEXEMS: Texture Exemplars for Defect Detection on Random Textured Surfaces. |
IEEE Trans. Pattern Anal. Mach. Intell. |
2007 |
DBLP DOI BibTeX RDF |
texem model, EM algorithm, mixture model, texture analysis, Defect detection |
12 | Aleksandar Dogandzic, Benhong Zhang |
Bayesian NDE Defect Signal Analysis. |
IEEE Trans. Signal Process. |
2007 |
DBLP DOI BibTeX RDF |
|
12 | Gary Wassermann, Carl Gould 0001, Zhendong Su 0001, Premkumar T. Devanbu |
Static checking of dynamically generated queries in database applications. |
ACM Trans. Softw. Eng. Methodol. |
2007 |
DBLP DOI BibTeX RDF |
database queries, JDBC, Static checking, context-free language reachability |
12 | Valerio Pascucci, Giorgio Scorzelli, Peer-Timo Bremer, Ajith Mascarenhas |
Robust on-line computation of Reeb graphs: simplicity and speed. |
ACM Trans. Graph. |
2007 |
DBLP DOI BibTeX RDF |
|
12 | Artem Marchenko, Pekka Abrahamsson |
Predicting Software Defect Density: A Case Study on Automated Static Code Analysis. |
XP |
2007 |
DBLP DOI BibTeX RDF |
defect estimation, case study, quality, automation, embedded software, agile software development, static code analysis |
12 | Addisson Salazar, Juan M. Unió, Arturo Serrano, Jorge Gosálbez |
Neural Networks for Defect Detection in Non-destructive Evaluation by Sonic Signals. |
IWANN |
2007 |
DBLP DOI BibTeX RDF |
|
12 | Hyunjin Lee, Sangyeun Cho, Bruce R. Childers |
Performance of Graceful Degradation for Cache Faults. |
ISVLSI |
2007 |
DBLP DOI BibTeX RDF |
|
Displaying result #601 - #700 of 5103 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ 15][ 16][ >>] |
|