The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for faults with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1972 (16) 1973-1974 (15) 1975-1976 (17) 1977-1978 (20) 1979-1980 (31) 1981-1982 (24) 1983 (17) 1984 (16) 1985 (31) 1986 (43) 1987 (27) 1988 (63) 1989 (72) 1990 (99) 1991 (105) 1992 (122) 1993 (147) 1994 (156) 1995 (232) 1996 (251) 1997 (233) 1998 (231) 1999 (308) 2000 (368) 2001 (310) 2002 (434) 2003 (488) 2004 (575) 2005 (646) 2006 (726) 2007 (732) 2008 (660) 2009 (452) 2010 (232) 2011 (178) 2012 (181) 2013 (220) 2014 (226) 2015 (230) 2016 (263) 2017 (309) 2018 (293) 2019 (363) 2020 (363) 2021 (428) 2022 (401) 2023 (422) 2024 (117)
Publication types (Num. hits)
article(4437) book(1) data(5) incollection(32) inproceedings(7361) phdthesis(56) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 10002 occurrences of 3019 keywords

Results
Found 11893 publication records. Showing 11893 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
21Lars-Ola Damm, Lars Lundberg Identification of test process improvements by combining fault trigger classification and faults-slip-through measurement. Search on Bibsonomy ISESE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Manan Syal, Rajat Arora, Michael S. Hsiao Extended Forward Implications and Dual Recurrence Relations to Identify Sequentially Untestable Faults. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Zachary D. Patitz, Nohpill Park, Minsu Choi, Fred J. Meyer QCA-Based Majority Gate Design under Radius of Effect-Induced Faults. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Ching-Wen Chen, Chang-Jung Ku, Chih-Hung Chang Design Schemes and Performance Analysis of Dynamic Rerouting Interconnection Networks for Tolerating Faults and Preventing Collisions. Search on Bibsonomy ISPA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF destination tag routing, fault tolerance, performance, Parallel computing, multistage interconnection network (MIN), collision, dynamic rerouting
21Mohamed Azimane, Ananta K. Majhi, Guido Gronthoud, Maurice Lousberg A New Algorithm for Dynamic Faults Detection in RAMs. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Nicola Santoro, Peter Widmayer Majority and Unanimity in Synchronous Networks with Ubiquitous Dynamic Faults. Search on Bibsonomy SIROCCO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Said Hamdioui, Zaid Al-Ars, Ad J. van de Goor, Mike Rodgers Linked faults in random access memories: concept, fault models, test algorithms, and industrial results. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Saravanan Padmanaban, Spyros Tragoudas Using BDDs and ZBDDs for Efficient Identification of Testable Path Delay Faults. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Yuichi Sato, Hiroshi Takahashi, Yoshinobu Higami, Yuzo Takamatsu Failure Analysis of Open Faults by Using Detecting/Un-detecting Information on Tests. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Said Hamdioui, John Delos Reyes, Zaid Al-Ars Evaluation of Intra-Word Faults in Word-Oriented RAMs. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Irith Pomeranz, Sudhakar M. Reddy Properties of Maximally Dominating Faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Kwang-Jin Lee, Cheol Kim, Suki Kim, Uk-Rae Cho, Hyun-Geun Byun Modeling and Testing of Faults in TCAMs. Search on Bibsonomy AsiaSim The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Irith Pomeranz, Sudhakar M. Reddy On Undetectable Faults in Partial Scan Circuits Using Transparent-Scan. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Patrick Girard 0001, Olivier Héron, Serge Pravossoudovitch, Michel Renovell BIST of Delay Faults in the Logic Architecture of Symmetrical FPGAs. Search on Bibsonomy IOLTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Cecilia Metra, T. M. Mak, Martin Omaña 0001 Risks Associated with Faults within Test Pattern Compactors and Their Implications on Testing. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Yuen-Tak Yu, Man Fai Lau Comparing Several Coverage Criteria for Detecting Faults in Logical Decisions. Search on Bibsonomy QSIC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Condition coverage, decision coverage, logical decisions, MUMCUT, coverage criteria, MC/DC
21Michael L. Harrison, James A. Foster Co-evolving Faults to Improve the Fault Tolerance of Sorting Networks. Search on Bibsonomy EuroGP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Jonathan Bradford, Hartmut Delong, Ilia Polian, Bernd Becker 0001 Simulating Realistic Bridging and Crosstalk Faults in an Industrial Setting. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF fault simulation, crosstalk, industrial experiences, defect-based testing
21Mehdi Baradaran Tahoori Application-dependent testing of FPGAs for bridging faults. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Satoshi Ohtake, Kouhei Ohtani, Hideo Fujiwara A Method of Test Generation fo Path Delay Faults Using Stuck-at Fault Test Generation Algorithms. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Brian Randell On Failures and Faults. Search on Bibsonomy FME The full citation details ... 2003 DBLP  DOI  BibTeX  RDF fault assumptions, Dependability, formal concepts
21Mohammad Gh. Mohammad, Kewal K. Saluja Stress Test for Disturb Faults in Non-Volatile Memories. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Carina Andersson, Thomas Thelin, Per Runeson, Nina Dzamashvili An Experimental Evaluation of Inspection and Testing for Detection of Design Faults. Search on Bibsonomy ISESE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Marong Phadoongsidhi, Kewal K. Saluja Event-Centric Simulation of Crosstalk Pulse Faults in Sequential Circuits. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Said Hamdioui, Ad J. van de Goor, Mike Rodgers Detecting Intra-Word Faults in Word-Oriented Memories. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Bit-oriented/word-oriented memories, fault models, memory tests, data backgrounds
21Irith Pomeranz, Sudhakar M. Reddy Property-based test generation for scan designs and the effects ofthe test application scheme and scan selection on the number ofdetectable faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Marco Vieira, Henrique Madeira Recovery and Performance Balance of a COTS DBMS in the Presence of Operator Faults. Search on Bibsonomy DSN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Saravanan Padmanaban, Spyros Tragoudas Exact Grading of Multiple Path Delay Faults. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Keith J. Keller, Hiroshi Takahashi, Kim T. Le, Kewal K. Saluja, Yuzo Takamatsu Reduction of Target Fault List for Crosstalk-Induced Delay Faults by using Layout Constraints. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Luis E. Garza, Francisco J. Cantu, Salvador Acevedo Faults Diagnosis in Industrial Processes with a Hybrid Diagnostic System. Search on Bibsonomy MICAI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Andrzej Krasniewski On the Set of Target Path Delay Faults in Sequential Subcircuits of LUT-based FPGAs. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21John C. Munson, Allen P. Nikora Toward A Quantifiable Definition of Software Faults. Search on Bibsonomy ISSRE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Janusz A. Starzyk, Dong Liu Locating stuck faults in analog circuits. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Jennifer Dworak, James Wingfield, Brad Cobb, Sooryong Lee, Li-C. Wang, M. Ray Mercer Fortuitous Detection and its Impact on Test Set Sizes Using Stuck-at and Transition Faults. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Tahar Jarboui, Jean Arlat, Yves Crouzet, Karama Kanoun, Thomas Marteau Analysis of the Effects of Real and Injected Software Faults: . Search on Bibsonomy PRDC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Yong Chang Kim, Vishwani D. Agrawal, Kewal K. Saluja Multiple Faults: Modeling, Simulation and Test. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF ATPG Modeling, Fault Modeling, Multiple Fault
21Alfredo Benso, Stefano Di Carlo, Giorgio Di Natale, Paolo Prinetto Memory Read Faults: Taxonomy and Automatic Test Generation. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Bipul Chandra Paul, Seung Hoon Choi, Yonghee Im, Kaushik Roy 0001 Design Verification and Robust Design Technique for Cross-Talk Faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Andrzej Krasniewski Testing FPGA Delay Faults in the System Environment is Very Different from "Ordinary" Delay Fault Testing. Search on Bibsonomy IOLTW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Wei-Cheng Lai, Jing-Reng Huang, Kwang-Ting (Tim) Cheng Embedded-Software-Based Approach to Testing Crosstalk-Induced Faults at On-Chip Buses. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Hossein Ahmadi, Reza Tafreshi, Farrokh Sassani, Guy Albert Dumont On the Performance of Informative Wavelets for Classification and Diagnosis of Machine Faults. Search on Bibsonomy WAA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Frank Liberato, Rami G. Melhem, Daniel Mossé Tolerance to Multiple Transient Faults for Aperiodic Tasks in Hard Real-Time Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fault-tolerant schedules, Real-time scheduling, earliest-deadline first, fault recovery
21Irith Pomeranz, Sudhakar M. Reddy On n-detection test sets and variable n-detection test sets fortransition faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Vijay Lakamraju, Russell Tessier Tolerating operational faults in cluster-based FPGAs. Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Marina Thottan, Chuanyi Ji Properties of network faults. Search on Bibsonomy NOMS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21D. Basu, R. Paramasivam An Approach to Software Assisted Recovery from Hardware Transient Faults for Real Time Systems. Search on Bibsonomy SAFECOMP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Boaz Barak, Shai Halevi, Amir Herzberg, Dalit Naor Clock synchronization with faults and recoveries (extended abstract). Search on Bibsonomy PODC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF clock synchronization, proactive systems, mobile adversary
21Fernando M. Gonçalves, João Paulo Teixeira 0001 Defect-Oriented Sampling of Non-Equally Probable Faults in VLSI Systems. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF fault sampling, defect-oriented test, fault extraction
21Kuen-Jong Lee, Jing-Jou Tang, Tsung-Chu Huang BIFEST: a built-in intermediate fault effect sensing and test generation system for CMOS bridging faults. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Érika F. Cota, Luigi Carro, Marcelo Lubaszewski A Method to Diagnose Faults in Linear Analog Circuits using an Adaptive Tester. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Jin Ding, Yu-Liang Wu On the Testing Quality of Random and Pseudo-random Sequences for Permanent and Intermittent Faults. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Masaki Hashizume, Hiroyuki Yotsuyanagi, Takeomi Tamesada Identification of Feedback Bridging Faults with Oscillation. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF feedback bridging fault, CMOS, logic circuit, oscillation
21Reisuke Shimoda, Takaki Yoshida, Masafumi Watari, Yasuhiro Toyota, Kiyokazu Nishi, Akira Motohara Practical Application of Automated Fault Diagnosis for Stuck-at, Bridging, and Measurement Condition Dependent Faults in Fully Scanned Sequential Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Pankaj Pant, Abhijit Chatterjee Efficient diagnosis of path delay faults in digital logic circuits. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Irith Pomeranz, Sudhakar M. Reddy On n-Detection Test Sets and Variable n-Detection Test Sets for Transition Faults. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Sudhakar M. Reddy, Irith Pomeranz, Nadir Z. Basturkmen, Xijiang Lin Procedures for Identifying Undetectable and Redundant Faults In Synchronous Sequential Circuits. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Debaleena Das, Nur A. Touba A Low Cost Approach for Detecting, Locating, and Avoiding Interconnect Faults in FPGA-Based Reconfigurable Systems. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Fabrizio Grandoni 0002, Andrea Bondavalli, Silvano Chiaradonna A New Heuristic to Discriminate between Transient and Intermittent Faults. Search on Bibsonomy HASE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Walter M. Lindermeir, Thomas J. Vogels, Helmut E. Graeb Analog Test Design with IDD Measurements for the Detection of Parametric and Catastrophic Faults. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF analog and mixed-signal test design, IDD spectral measurements, test decision criteria, yield coverage, fault modeling, fault coverage, discrimination analysis, measurement noise
21Cheng-Wen Wu, Chih-Yuang Su A Probabilistic Model for Path Delay Faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Holger Karl, Matthias Werner 0001, Lars Küttner An Experimental Investigation of Message Latencies in the Totem Protocol in the Presence of Faults. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Albina Moshkova On Diagnosis of Retaining Faults in Circuits. Search on Bibsonomy Rough Sets and Current Trends in Computing The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Lan Zhao, D. M. H. Walker, Fabrizio Lombardi Detection of bridging faults in logic resources of configurable FPGAs using I_DDQ. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF IDDQ Tes t, Configurable Logic Blocks, FPGA, Testing, Bridging Fault, Programming Phase
21Cecilia Metra, Michele Favalli, Bruno Riccò On-line detection of logic errors due to crosstalk, delay, and transient faults. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Cecilia Metra, Michele Favalli, Piero Olivo, Bruno Riccò On-line detection of bridging and delay faults in functional blocks of CMOS self-checking circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
21Peter A. Krauss, Andreas Ganz, Kurt Antreich Distributed Test Pattern Generation for Stuck-At Faults in Sequential Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF fault parallelism, search space parallelism, sequential circuits, ATPG
21Ad J. van de Goor, Georgi Gaydadjiev, Vyacheslav N. Yarmolik, V. G. Mikitjuk March LA: a test for linked memory faults. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
21Debesh Kumar Das, Susanta Chakraborty, Bhargab B. Bhattacharya New BIST Techniques for Universal and Robust Testing of CMOS Stuck-Open Faults. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
21Sreejit Chakravarty, Yiming Gong, Srikanth Venkataraman Diagnostic simulation of stuck-at faults in combinational circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF diagnostic power, diagnostic simulation, diagnosis, equivalence classes, diagnostic resolution
21Keerthi Heragu, Janak H. Patel, Vishwani D. Agrawal SIGMA: a simulator for segment delay faults. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF fault model, fault simulator, delay fault testing
21Irith Pomeranz, Sudhakar M. Reddy, Janak H. Patel On Double Transition Faults as a Delay Fault Model. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
21Ramesh C. Tekumalla, Premachandran R. Menon Identifying Redundant Path Delay Faults in Sequential Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
21Vishwani D. Agrawal, Srimat T. Chakradhar Combinational ATPG theorems for identifying untestable faults in sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
21Mustapha Slamani, Bozena Kaminska Multifrequency Analysis of Faults in Analog Circuits. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
21Tapan J. Chakraborty, Vishwani D. Agrawal Simulation of at-speed tests for stuck-at faults. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF stuck-at fault detectability, at-speed test simulation, delayed signal transitions, timing hazards, fault simulation method, delay-hazard robust test coverage, timing considerations, high performance circuits, fault diagnosis, logic testing, delays, timing, integrated circuit testing, circuit analysis computing, hazards and race conditions, path delays, high speed test
21Daniel Brand, Vijay S. Iyengar Identification of redundant delay faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
21Irith Pomeranz, Sudhakar M. Reddy SPADES-ACE: a simulator for path delay faults in sequential circuits with extensions to arbitrary clocking schemes. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
21Karl Fuchs, Michael Pabst, Torsten Rössel RESIST: a recursive test pattern generation algorithm for path delay faults considering various test classes. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
21Weiwei Mao, Michael D. Ciletti Reducing correlation to improve coverage of delay faults in scan-path design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
21Linda S. Milor, Alberto L. Sangiovanni-Vincentelli Minimizing production test time to detect faults in analog circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
21Yonatan Aumann, Judit Bar-Ilan, Uriel Feige On the Cost of Recomputing: Tight Bounds on Pebbling with Faults. Search on Bibsonomy ICALP The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
21Juan A. Garay Reaching (and Maintaining) Agreement in the Presence of Mobile Faults (Extended Abstract). Search on Bibsonomy WDAG The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
21Stefan Gerber 0002, Michael Gössel Detection of Permanent Hardware Faults of a Floating Point Adder by Pseudoduplication. Search on Bibsonomy EDCC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
21Slawomir Pilarski, Tiko Kameda, André Ivanov Sequential faults and aliasing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
21Michele Favalli, Piero Olivo, Bruno Riccò A probabilistic fault model for 'analog' faults in digital CMOS circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
21Michele Favalli, Piero Olivo, Maurizio Damiani, Bruno Riccò Fault simulation of unconventional faults in CMOS circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
21Michael H. Schulz, Franz Fink, Karl Fuchs Parallel Pattern Fault Simulation of Path Delay Faults. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
21S. Koeppe Optimal Layout to Avoid CMOS Stuck-Open Faults. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
19Chitra Babu, Harshini Ramnath Krishnan Fault model and test-case generation for the composition of aspects. Search on Bibsonomy ACM SIGSOFT Softw. Eng. Notes The full citation details ... 2009 DBLP  DOI  BibTeX  RDF aspect oriented programming, fault model, aspect composition, join point
19Kevin Ni, Nithya Ramanathan, Mohamed Nabil Hajj Chehade, Laura Balzano, Sheela Nair, Sadaf Zahedi, Eddie Kohler, Gregory J. Pottie, Mark H. Hansen, Mani B. Srivastava Sensor network data fault types. Search on Bibsonomy ACM Trans. Sens. Networks The full citation details ... 2009 DBLP  DOI  BibTeX  RDF sensor network, Data integrity, fault
19Stavros Tripakis A Combined On-Line/Off-Line Framework for Black-Box Fault Diagnosis. Search on Bibsonomy RV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Anders Lyhne Christensen, Rehan O'Grady, Mauro Birattari, Marco Dorigo Fault detection in autonomous robots based on fault injection and learning. Search on Bibsonomy Auton. Robots The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Model-free, Learning, Mobile robots, Fault detection, Fault injection
19Nima Mehdizadeh, Mohammad Shokrollah-Shirazi, Seyed Ghassem Miremadi Analyzing fault effects in the 32-bit OpenRISC 1200 microprocessor. Search on Bibsonomy ARES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Ilinca Ciupa, Alexander Pretschner, Andreas Leitner, Manuel Oriol, Bertrand Meyer 0001 On the Predictability of Random Tests for Object-Oriented Software. Search on Bibsonomy ICST The full citation details ... 2008 DBLP  DOI  BibTeX  RDF predictability, random testing, failure, fault
19Junqiang Zhou, Simeon C. Ntafos, Balakrishnan Prabhakaran 0001 Fault Detection Framework for Video Surveillance Systems. Search on Bibsonomy AVSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Dana Fisman, Orna Kupferman, Yoad Lustig On Verifying Fault Tolerance of Distributed Protocols. Search on Bibsonomy TACAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Thomas Panhofer, Werner Friesenbichler, Martin Delvai Fault tolerant Four-State Logic by using Self-Healing Cells. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Kazuteru Namba, Hideo Ito Delay Fault Testability on Two-Rail Logic Circuits. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19K. S. May Chan, Judith Bishop, Johan Steyn, Luciano Baresi, Sam Guinea A Fault Taxonomy for Web Service Composition. Search on Bibsonomy ICSOC Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fault taxonomy, Web services, service composition, self-healing
19Mathias Brandstötter, Michael W. Hofbaur, Gerald Steinbauer, Franz Wotawa Model-based fault diagnosis and reconfiguration of robot drives. Search on Bibsonomy IROS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 11893 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license