The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase flip-flops (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1953-1976 (18) 1977-1988 (16) 1989-1993 (17) 1994-1995 (49) 1996 (24) 1997 (21) 1998 (42) 1999 (28) 2000 (24) 2001 (25) 2002 (24) 2003 (35) 2004 (38) 2005 (44) 2006 (44) 2007 (53) 2008 (58) 2009 (27) 2010 (25) 2011 (23) 2012 (19) 2013 (21) 2014 (16) 2015 (20) 2016-2017 (31) 2018-2019 (28) 2020-2021 (26) 2022-2023 (20) 2024 (7)
Publication types (Num. hits)
article(279) data(1) inproceedings(542) phdthesis(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 825 occurrences of 369 keywords

Results
Found 823 publication records. Showing 823 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Eric L. Hill, Mikko H. Lipasti, Kewal K. Saluja An accurate flip-flop selection technique for reducing logic SER. Search on Bibsonomy DSN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Damian Nowroth, Ilia Polian, Bernd Becker 0001 A study of cognitive resilience in a JPEG compressor. Search on Bibsonomy DSN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Bangli Liang, Tad A. Kwasniewski, Dianyong Chen A 42-Gb/s Decision Circuit in 0.13µm CMOS. Search on Bibsonomy CNSR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CMOS CML, Shunt peaking, Split-resistor, Optical communication
17Rostislav (Reuven) Dobkin, Ran Ginosar Fast Universal Synchronizers. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF MCD, Synchronization, SoC
17Jennifer Gillenwater, Gregory Malecha, Cherif R. Salama, Angela Yun Zhu, Walid Taha, Jim Grundy, John O'Leary Synthesizable high level hardware descriptions: using statically typed two-level languages to guarantee verilog synthesizability. Search on Bibsonomy PEPM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF statically typed two-level languages, synthesizability, verilog elaboration, code generation, hardware description languages
17Kypros Constantinides, Onur Mutlu, Todd M. Austin Online design bug detection: RTL analysis, flexible mechanisms, and evaluation. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Kui Wang, Hao Fang 0008, Hu Xu, Xu Cheng A fast incremental clock skew scheduling algorithm for slack optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Norio Yamagaki, Reetinder P. S. Sidhu, Satoshi Kamiya High-speed regular expression matching engine using multi-character NFA. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Nicola Concer, Michele Petracca, Luca P. Carloni Distributed flit-buffer flow control for networks-on-chip. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF latency-insensitive protocols, network-on-chip
17Saar Drimer, Tim Güneysu, Christof Paar DSPs, BRAMs and a Pinch of Logic: New Recipes for AES on FPGAs. Search on Bibsonomy FCCM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Jaeha Kim, Brian S. Leibowitz, Metha Jeeradit Impulse sensitivity function analysis of periodic circuits. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF impulse sensitivity function, periodic AC analysis, simulation
17Hirokatsu Shirahama, Takahiro Hanyu Design of High-Performance Quaternary Adders Based on Output-Generator Sharing. Search on Bibsonomy ISMVL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Carry pre-addition, Differential-pair circuitry, Voltage-mode circuit, Transfer-gate circuitry, Current-mode circuit
17Hamed Abrishami, Safar Hatami, Massoud Pedram Characterization and design of sequential circuit elements to combat soft error. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Jie Shao, Ning Ye, Xiao-Yan Zhang An IEEE Compliant Floating-Point Adder with the Deeply Pipelining Paradigm on FPGAs. Search on Bibsonomy CSSE (4) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Vikas Chandra, Robert C. Aitken Impact of Technology and Voltage Scaling on the Soft Error Susceptibility in Nanoscale CMOS. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Fan Yang 0060, Sreejit Chakravarty, Narendra Devta-Prasanna, Sudhakar M. Reddy, Irith Pomeranz Detection of Transistor Stuck-Open Faults in Asynchronous Inputs of Scan Cells. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Tomasz Garbolino, Gregor Papa Test Pattern Generator Design Optimization Based on Genetic Algorithm. Search on Bibsonomy IEA/AIE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Amith Singhee, Jiajing Wang, Benton H. Calhoun, Rob A. Rutenbar Recursive Statistical Blockade: An Enhanced Technique for Rare Event Simulation with Application to SRAM Circuit Design. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Sintayehu Dehnie, Nasir D. Memon Cooperative diversity with selfish users. Search on Bibsonomy CISS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Xiaoding Chen, Michael S. Hsiao An Overlapping Scan Architecture for Reducing Both Test Time and Test Power by Pipelining Fault Detection. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Ian Kuon, Jonathan Rose Measuring the Gap Between FPGAs and ASICs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Shibaji Banerjee, Dipanwita Roy Chowdhury, Bhargab B. Bhattacharya An Efficient Scan Tree Design for Compact Test Pattern Set. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17David B. Thomas, Wayne Luk High Quality Uniform Random Number Generation Using LUT Optimised State-transition Matrices. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Uniform Random Numbers, Simulation, FPGA
17Teruaki Sakata, Teppei Hirotsu, Hiromichi Yamada, Takeshi Kataoka A Cost-Effective Dependable Microcontroller Architecture with Instruction-Level Rollback for Soft Error Recovery. Search on Bibsonomy DSN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Mahdi Fazeli, Ahmad Patooghy, Seyed Ghassem Miremadi, Alireza Ejlali Feedback Redundancy: A Power Efficient SEU-Tolerant Latch Design for Deep Sub-Micron Technologies. Search on Bibsonomy DSN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Chin-Hsiung Hsu, Szu-Jui Chou, Jie-Hong Roland Jiang, Yao-Wen Chang A Statistical Approach to the Timing-Yield Optimization of Pipeline Circuits. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Amith Singhee, Rob A. Rutenbar Statistical blockade: a novel method for very fast Monte Carlo simulation of rare circuit events, and its application. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Andrzej Krasniewski Concurrent Error Detection for FSMs Designed for Implementation with Embedded Memory Blocks of FPGAs. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Min-Lun Chuang, Chun-Yao Wang Synthesis of Reversible Sequential Elements. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Tsung-Yi Wu, Jr-Luen Tzeng, Kuang-Yao Chen A Fast Probability-Based Algorithm for Leakage Current Reduction Considering Controller Cost. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF MLV controller, probability-based algorithm, leakage current reduction, minimum leakage vector
17Roel Meeuws, Yana Yankova, Koen Bertels, Georgi Gaydadjiev, Stamatis Vassiliadis A Quantitative Prediction Model for Hardware/Software Partitioning. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Satish Sivaswamy, Kia Bazargan Statistical Generic And Chip-Specific Skew Assignment for Improving Timing Yield of FPGAs. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Kambiz Rahimi Minimizing peak power in synchronous logic circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF power optimization, peak power, clock scheduling
17Haruhiko Kaneko, Eiji Fujiwara Reconstruction of Erasure Correcting Codes for Dependable Distributed Storage System without Spare Disks. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Armin Alaghi, Naghmeh Karimi, Mahshid Sedghi, Zainalabedin Navabi Online NoC Switch Fault Detection and Diagnosis Using a High Level Fault Mode. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Youngsoo Shin, Hyung-Ock Kim Cell-Based Semicustom Design of Zigzag Power Gating Circuits. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Suwen Yang, Mark R. Greenstreet Simulating Improbable Events. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Andy Yan, Steven J. E. Wilton Product-Term-Based Synthesizable Embedded Programmable Logic Cores. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Mustafa Badaroglu, Kris Tiri, Geert Van der Plas, Piet Wambacq, Ingrid Verbauwhede, Stéphane Donnay, Georges G. E. Gielen, Hugo De Man Clock-skew-optimization methodology for substrate-noise reduction with supply-current folding. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17C.-T. Hsieh, J.-C. Lin, S.-C. Chang Vectorless Estimation of Maximum Instantaneous Current for Sequential Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Chuan Lin 0002, Hai Zhou 0001 Optimal wire retiming without binary search. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Gustavo A. Ruiz, Juan A. Michell, Angel M. Burón High Throughput Parallel-Pipeline 2-D DCT/IDCT Processor Chip. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF inverse discrete cosine transform (IDCT), row column decomposition, parallel pipelined architectures, very large scale integration (VLSI), image compression, discrete cosine transform (DCT)
17Subhasish Mitra, Ming Zhang 0017, Norbert Seifert, T. M. Mak, Kee Sup Kim Soft Error Resilient System Design through Error Correction. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Ian Kuon, Jonathan Rose Measuring the gap between FPGAs and ASICs. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF area comparison, delay comparison, power comparison, FPGA, ASIC
17Subodh M. Reddy, Gustavo R. Wilke, Rajeev Murgai Analyzing timing uncertainty in mesh-based clock architectures. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Kazuo Sakiyama, Nele Mentens, Lejla Batina, Bart Preneel, Ingrid Verbauwhede Reconfigurable Modular Arithmetic Logic Unit for High-Performance Public-Key Cryptosystems. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF RSA, Elliptic Curve Cryptography (ECC), Public-Key Cryptography (PKC), Reconfigurable architecture, FPGA implementation
17Xiaoyu Ruan, Rajendra S. Katti, David Hinkemeyer Algorithm and implementation of signed-binary recoding with asymmetric digit sets for elliptic curve cryptosystems. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Frederic Worm, Patrick Thiran, Paolo Ienne Designing Robust Checkers in the Presence of Massive Timing Errors. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17P. Kenterlis, Nektarios Kranitis, Antonis M. Paschalis, Dimitris Gizopoulos, Mihalis Psarakis A Low-Cost SEU Fault Emulation Platform for SRAM-Based FPGAs. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Bruce F. Cockburn, Keith Boyle Design and Characterization of a Digital Delay Locked Loop Synthesized from Black Box Standard Cells. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Xiaoqing Wen, Seiji Kajihara, Kohei Miyase, Tatsuya Suzuki, Kewal K. Saluja, Laung-Terng Wang, Khader S. Abdel-Hafez, Kozo Kinoshita A New ATPG Method for Efficient Capture Power Reduction During Scan Testing. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Chenhui Jiang, Pietro Andreani, U. D. Keil Detailed Behavioral Modeling of Bang-Bang Phase Detectors. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Chua-Chin Wang, Gang-Neng Sung, Ming-Kai Chang, Ying-Yu Shen Engery-Efficient Double-Edge Triggered Flip-Flop Design. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Jiann-Chyi Rau, Po-Han Wu, Chia-Jung Liu A Novel Hardware Architecture for Low Power and Rapid Testing of VLSI Circuits. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Nisar Ahmed, Mohammad Tehranipoor, Vinay Jayaram Timing-based delay test for screening small delay defects. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF test generation, delay testing
17Yuichi Nakamura 0002, Mitsuru Tagata, Takumi Okamoto, Shigeyoshi Tawada, Ko Yoshikawa Budgeting-free hierarchical design method for large scale and high-performance LSIs. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF budgeting, physical synthesis, hierarchical design
17Vishnu C. Vimjam, Michael S. Hsiao Fast illegal state identification for improving SAT-based induction. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF learning, ATPG, SAT, induction
17Anurag Tiwari, Karen A. Tomko Enhanced reliability of finite-state machines in FPGA through efficient fault detection and correction. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Nikola Nedovic, Vojin G. Oklobdzija Dual-edge triggered storage elements and clocking strategy for low-power systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Yong Chang Kim, Vishwani D. Agrawal, Kewal K. Saluja Combinational automatic test pattern generation for acyclic sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Dong Xiang, Ming-Jing Chen, Jia-Guang Sun, Hideo Fujiwara Improving test effectiveness of scan-based BIST by scan chain partitioning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Hiroyuki Yotsuyanagi, Toshimasa Kuchii, Shigeki Nishikawa, Masaki Hashizume, Kozo Kinoshita Reducing Scan Shifts Using Configurations of Compatible and Folding Scan Trees. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF scan tree, logic testing, design for testability, sequential circuit
17Gustavo A. Ruiz, Juan A. Michell, Angel M. Burón Parallel-pipeline 8×8 forward 2-D ICT processor chip for image coding. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Gustavo A. Ruiz, Juan A. Michell, Angel M. Burón High throughput 2D DCT/IDCT processor for video coding. Search on Bibsonomy ICIP (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Giacinto Paolo Saggese, Anoop Vetteth, Zbigniew Kalbarczyk, Ravishankar K. Iyer Microprocessor Sensitivity to Failures: Control vs Execution and Combinational vs Sequential Logic. Search on Bibsonomy DSN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Minoru Watanabe, Fuminori Kobayashi An Improved Dynamic Optically Reconfigurable Gate Array. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Cyrus Bazeghi, Francisco J. Mesa-Martinez, Jose Renau uComplexity: Estimating Processor Design Effort. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Frank te Beest, Ad M. G. Peeters A Multiplexor Based Test Method for Self-Timed Circuits. Search on Bibsonomy ASYNC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Yehea I. Ismail, Muhammad M. Khellah, Maged Ghoneima, James W. Tschanz, Yibin Ye, Vivek De Skewing adjacent line repeaters to reduce the delay and energy dissipation of on-chip buses. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Hans-Dieter Wohlmuth, Daniel Kehrer A 24 GHz dual-modulus prescaler in 90nm CMOS. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Jing Chen, Miao Li, Tad A. Kwasniewski Decision feedback equalization for high-speed backplane data communications. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Maurice Meijer, Francesco Pessolano, José Pineda de Gyvez Limits to performance spread tuning using adaptive voltage and body biasing. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Vidyasagar Nookala, Sachin S. Sapatnekar Designing optimized pipelined global interconnects: algorithms and methodology impact. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Wen-Tsan Hsieh, Chih-Chieh Shiue, Chien-Nan Jimmy Liu A novel approach for high-level power modeling of sequential circuits using recurrent neural networks. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Hossein Asadi 0001, Mehdi Baradaran Tahoori Soft Error Modeling and Protection for Sequential Elements. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Swarup Bhunia, Hamid Mahmoodi-Meimand, Debjyoti Ghosh, Kaushik Roy 0001 Power Reduction in Test-Per-Scan BIST with Supply Gating and Efficient Scan Partitioning. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Subhasish Mitra, Tanay Karnik, Norbert Seifert, Ming Zhang Logic soft errors in sub-65nm technologies design and CAD challenges. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF architectural vulnerability factor, built-in soft error resilience, derating, error blocking, error detection, recovery, soft error
17Leslaw Gniewek, Jacek Kluska Hardware implementation of fuzzy Petri net as a controller. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Part B The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Hai Zhou 0001, Chuan Lin 0002 Retiming for wire pipelining in system-on-chip. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Paul M. Rosinger, Bashir M. Al-Hashimi, Nicola Nicolici Scan architecture with mutually exclusive scan segment activation for shift- and capture-power reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Juang-Ying Chueh, Conrad H. Ziesler, Marios C. Papaefthymiou Experimental Evaluation of Resonant Clock Distribution. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Kambiz Rahimi, Seth Bridges, Chris Diorio Timing Correction and Optimization with Adaptive Delay Sequential Element. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Hojun Shim, Naehyuck Chang, Massoud Pedram A compressed frame buffer to reduce display power consumption in mobile systems. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Joshua J. Arulanandham, Cristian Calude, Michael J. Dinneen Balance Machines: Computing = Balancing. Search on Bibsonomy Aspects of Molecular Computing The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Mike Hutton Architecture and CAD for FPGAs. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Nema Elfaramawy, Amira Awad All-optical logic circuits based on the nonlinear properties of the semiconductor optical amplifier. Search on Bibsonomy ISCC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17JongSu Yi, JunSeong Kim, Liping Li, John Morris, Gareth Lee, Philippe Leclercq Real-Time Three Dimensional Vision. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Jeng-Liang Tsai, Dong Hyun Baik, Charlie Chung-Ping Chen, Kewal K. Saluja A yield improvement methodology using pre- and post-silicon statistical clock scheduling. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Chuan Lin 0002, Hai Zhou 0001 Optimal wire retiming without binary search. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Cheng-Tao Hsieh, Jian-Cheng Lin, Shih-Chieh Chang A vectorless estimation of maximum instantaneous current for sequential circuits. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Kenny Johansson, Oscar Gustafsson, Lars Wanhammar Low-complexity bit-serial constant-coefficient multipliers. Search on Bibsonomy ISCAS (3) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Shahriar Shahramian, Tony Chan Carusone Hardware reduction by combining pipelined A/D conversion and FIR filtering for channel equalization. Search on Bibsonomy ISCAS (3) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Nitin Parimi, Xiaoling Sun Toggle-Masking for Test-per-Scan VLSI Circuits. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Vijay Degalahal, Rajaraman Ramanarayanan, Narayanan Vijaykrishnan, Yuan Xie 0001, Mary Jane Irwin The Effect of Threshold Voltages on the Soft Error Rate. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Peilin Song, Franco Stellari, Alan J. Weger, Tian Xia A Novel Scan Chain Diagnostics Technique Based on Light Emission from Leakage Current. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Rohit Kapur Security vs. Test Quality: Are they mutually exclusive? Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Peter M. Levine, Gordon W. Roberts A High-Resolution Flash Time-to-Digital Converter and Calibration Scheme. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Vidyasagar Nookala, Sachin S. Sapatnekar A method for correcting the functionality of a wire-pipelined circuit. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF synchronous design, wire pipelining
17Victor V. Zyuban Optimization of scannable latches for low energy. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Pasquale Cocchini A methodology for optimal repeater insertion in pipelined interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 823 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license