The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for instructions with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1963 (19) 1964-1968 (15) 1969-1974 (16) 1975-1978 (20) 1979-1981 (19) 1982-1984 (23) 1985-1987 (47) 1988 (35) 1989 (32) 1990 (46) 1991 (16) 1992 (36) 1993 (34) 1994 (48) 1995 (56) 1996 (71) 1997 (92) 1998 (82) 1999 (158) 2000 (181) 2001 (150) 2002 (223) 2003 (309) 2004 (334) 2005 (380) 2006 (410) 2007 (374) 2008 (347) 2009 (246) 2010 (87) 2011 (47) 2012 (54) 2013 (53) 2014 (56) 2015 (44) 2016 (70) 2017 (76) 2018 (110) 2019 (111) 2020 (118) 2021 (113) 2022 (138) 2023 (209) 2024 (53)
Publication types (Num. hits)
article(1234) data(1) incollection(7) inproceedings(3889) phdthesis(27)
Venues (Conferences, Journals, ...)
CoRR(291) MICRO(137) ISCA(128) IEEE Trans. Computers(75) DATE(70) HPCA(66) IPDPS(63) ICS(62) ASPLOS(59) IEEE PACT(58) ICCD(53) PLDI(53) Euro-Par(52) CASES(46) CGO(43) ASAP(42) More (+10 of total 1372)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4224 occurrences of 1958 keywords

Results
Found 5158 publication records. Showing 5158 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15G. Surendra, Subhasis Banerjee, S. K. Nandy 0001 Enhancing Speedup in Network Processing Applications by Exploiting Instruction Reuse with Flow Aggregation. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Hendra Saputra, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Richard R. Brooks, Soontae Kim, Wei Zhang 0002 Masking the Energy Behavior of DES Encryption. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Rainer Schaffer, Renate Merker, Francky Catthoor Causality Constraints for Processor Architectures with Sub-Word Parallelism. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Ilhyun Kim, Mikko H. Lipasti Macro-op Scheduling: Relaxing Scheduling Loop Constraints. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Ronald D. Barnes, Erik M. Nystrom, John W. Sias, Sanjay J. Patel, Nacho Navarro, Wen-mei W. Hwu Beating in-order stalls with "flea-flicker" two-pass pipelining. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Enric Gibert, F. Jesús Sánchez, Antonio González 0001 Local Scheduling Techniques for Memory Coherence in a Clustered VLIW Processor with a Distributed Data Cache. Search on Bibsonomy CGO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Wolfgang Weber, Heidemarie Tondok, Michael Bachmayer Enhancing Software Safety by Fault Trees: Experiences from an Application to Flight Critical SW. Search on Bibsonomy SAFECOMP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15V. V. N. S. Sarvani, R. Govindarajan Unified Instruction Reordering and Algebraic Transformations for Minimum Cost Offset Assignment. Search on Bibsonomy SCOPES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Leomar S. da Rosa Jr., Flávio Rech Wagner, Luigi Carro, Alexandre Carissimi, André Inácio Reis Scheduling Policy Costs on a JAVA Microcontroller. Search on Bibsonomy OTM Workshops The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Hans Vandierendonck, Hans Logie, Koenraad De Bosschere Trace Substitution. Search on Bibsonomy Euro-Par The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15R. Achutharaman, R. Govindarajan, G. Hariprakash, Amos Omondi Exploiting Java-ILP on a Simultaneous Multi-Trace Instruction Issue (SMTI) Processor. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Kenneth K. C. Lee, Karl-Erwin Großpietsch, Y. K. Chan An Alternative Superscalar Architecture with Integer Execution Units Only. Search on Bibsonomy APPT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Johann Großschädl, Guy-Armand Kamendje Optimized RISC Architecture for Multiple-Precision Modular Arithmetic. Search on Bibsonomy SPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF RSA Algorithm, Finely IntegratedOperand Scanning (FIOS), Multi-Application Smart Cards, Montgomery Multiplication
15Daniel Ortega, Eduard Ayguadé, Mateo Valero Dynamic memory instruction bypassing. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF on-chip memory management, superscalar processors
15Newton Cheung, Sri Parameswaran, Jörg Henkel INSIDE: INstruction Selection/Identification & Design Exploration for Extensible Processors. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15In-Cheol Park, Se-Hyeon Kang, Yongseok Yi Fast Cycle-accurate Behavioral Simulation for Pipelined Processors Using Early Pipeline Evaluation. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF C++
15Kyung Lan Heo, Sung M. Cho, Jung Hoo Lee, Myung Hoon Sunwoo Application-Specific DSP Architecture For Fast Fourier Transform. Search on Bibsonomy ASAP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Ben A. Abderazek, Soichi Shigeta, Tsutomu Yoshinaga, Masahiro Sowa On the Design of a Register Queue Based Processor Architecture (FaRM-rq). Search on Bibsonomy ISPA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Huiyang Zhou, Jill Flanagan, Thomas M. Conte Detecting Global Stride Locality in Value Streams. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Sang Jeong Lee, Pen-Chung Yew On Augmenting Trace Cache for High-Bandwidth Value Prediction. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Instruction Level Parallelism, data dependences, Value prediction, trace cache, dynamic classification
15Francisco Barat, Rudy Lauwereins, Geert Deconinck Reconfigurable Instruction Set Processors from a Hardware/Software Perspective. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Reconfigurable instruction set processor overview, compiler, microprocessor, reconfigurable logic
15Soner Önder Cost Effective Memory Dependence Prediction using Speculation Levels and Color Sets. Search on Bibsonomy IEEE PACT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF load speculation, memory dependence prediction, store sets, wide issue superscalar, speculative execution
15Nihar R. Mahapatra, Jiangjiang Liu 0002, Krishnan Sundaresan The performance advantage of applying compression to the memory system. Search on Bibsonomy MSP/ISMM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF address compression, instruction compression, cache, data compression, entropy, memory, latency, Markov models, bandwidth, storage, traffic, register file, lossless compression
15Toshinori Sato, Itsujiro Arita Simplifying Instruction Issue Logic in Superscalar Processors. Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Vlad Petric, Anne Bracy, Amir Roth Three extensions to register integration. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Sascha Wennekers, Christian Siemers Reconfigurable RISC - A New Approach for Space-Efficient Superscalar Microprocessor Architecture. Search on Bibsonomy ARCS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Mihai Sima, Sorin Cotofana, Stamatis Vassiliadis, Jos T. J. van Eijndhoven, Kees A. Vissers MPEG-Compliant Entropy Decoding on FPGA-Augmented TriMedia/CPU64. Search on Bibsonomy FCCM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Jakob Engblom, Bengt Jonsson 0001 Processor Pipelines and Their Properties for Static WCET Analysis. Search on Bibsonomy EMSOFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Rainer Schaffer, Renate Merker, Francky Catthoor Systematic Design of Programs with Sub-Word Parallelism. Search on Bibsonomy PARELEC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Weiyu Tang, Alexander V. Veidenbaum, Alexandru Nicolau, Rajesh K. Gupta 0001 Integrated I-cache Way Predictor and Branch Target Buffer to Reduce Energy Consumption. Search on Bibsonomy ISHPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Bengu Li, Rajiv Gupta 0001 Bit section instruction set extension of ARM for embedded applications. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF bit section operations, multimedia data, network processing
15Akira Kitajima, Toshiyuki Sasaki, Yoshinori Takeuchi, Masaharu Imai Design of Application Specific CISC Using PEAS-III. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Yoonseo Choi, Taewhan Kim Address code optimization using code scheduling for digital signal processors. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Ruby B. Lee, A. Murat Fiskiran, Zhijie Shi, Xiao Yang 0001 Refining Instruction Set Architecture for High-Performance Multimedia Processing in Constrained Environments. Search on Bibsonomy ASAP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15T. N. Vijaykumar, Irith Pomeranz, Karl Cheng Transient-Fault Recovery Using Simultaneous Multithreading. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Gianfranco Bilardi, Kattamuri Ekanadham, Pratap Pattnaik Optimal organizations for pipelined hierarchical memories. Search on Bibsonomy SPAA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF hierarchical memory processor, scalable pipeline
15Yoonseo Choi, Taewhan Kim Address assignment combined with scheduling in DSP code generation. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF offset assignment, scheduling, code generation
15Ulrich Sigmund, Theo Ungerer Die Multimediafaehigkeit von mehrfaedig superskalaren Prozessoren am Beispiel der MPEG-2-Decodierung. Search on Bibsonomy Inform. Forsch. Entwickl. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Mehrfädig superskalar, Superskalarprozessor, Multimediaprozessor, Multimediaeinheit, Videodecodierung, MPEG-2
15Yoon-chan Jhi, Ki-Chang Kim, Kemal Ebcioglu, Yong Surk Lee A Prolog Tailoring Technique on an Epilog Tailored Procedure. Search on Bibsonomy Ershov Memorial Conference The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Yasushi Sugimura, Seiichi Ido A Super Tracer and an Analyzer for Analyzing Detailed Behavior of a Linux on a Pentium Family Processor (STDB). Search on Bibsonomy ECBS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Ben H. H. Juurlink, Stamatis Vassiliadis, Dmitri Tcheressiz, Harry A. G. Wijshoff Implementation and Evaluation of the Complex Streamed Instruction Set. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Jesús Corbal, Roger Espasa, Mateo Valero On the Efficiency of Reductions in µ-SIMD Media Extensions. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Roni Rosner, Avi Mendelson, Ronny Ronen Filtering Techniques to Improve Trace-Cache Efficiency. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Andreas Moshovos, Dionisios N. Pnevmatikatos, Amirali Baniasadi Slice-processors: an implementation of operation-based prediction. Search on Bibsonomy ICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Murali Annavaram, Jignesh M. Patel, Edward S. Davidson Data prefetching by dependence graph precomputation. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Rajeev Balasubramonian, Sandhya Dwarkadas, David H. Albonesi Dynamically allocating processor resources between nearby and distant ILP. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Ramon Canal, Joan-Manuel Parcerisa, Antonio González 0001 Dynamic Cluster Assignment Mechanisms. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF dynamic code partitioning, steering logic, Clustered microarchitectures, dynamically scheduled processors
15Jean-Louis Krivine The Curry-Howard Correspondence in Set Theory. Search on Bibsonomy LICS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Sunil Atri, J. Ramanujam, Mahmut T. Kandemir Improving Offset Assignment on Embedded Processors Using Transformations. Search on Bibsonomy HiPC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15David Ofelt, John L. Hennessy Efficient performance prediction for modern microprocessors. Search on Bibsonomy SIGMETRICS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Alberto Ferreira de Souza, Peter Rounce On the Scheduling Algorithm of the Dynamically Trace Scheduled VLIW Architecture. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF DTSVLIW, VLIW, Instruction scheduling
15Manish Gupta 0002, Jong-Deok Choi, Michael Hind Optimizing Java Programs in the Presence of Exceptions. Search on Bibsonomy ECOOP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Neophytos G. Michael, Andrew W. Appel Machine Instruction Syntax and Semantics in Higher Order Logic. Search on Bibsonomy CADE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Samuel Larsen, Saman P. Amarasinghe Exploiting superword level parallelism with multimedia instruction sets. Search on Bibsonomy PLDI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Hui Wu 0001, Joxan Jaffar, Roland H. C. Yap Instruction Scheduling with Timing Constraints on a Single RISC Processor with 0/1 Latencies. Search on Bibsonomy CP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Zhi Alex Ye, Andreas Moshovos, Scott Hauck, Prithviraj Banerjee CHIMAERA: a high-performance architecture with a tightly-coupled reconfigurable functional unit. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Benjamin Bishop, Thomas P. Kelliher, Robert Michael Owens, Mary Jane Irwin Aggressive Dynamic Execution of Decoded Traces. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Enric Morancho, José M. Llabería, Àngel Olivé Looking at History to Filter Allocations in Prediction Tables. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Area Cost, Replacement Algorithms, Dynamic Classifications, Address Prediction
15Da-Chih David Tang, Ann Marie Grizzaffi Maynard, Lizy Kurian John Contrasting branch characteristics and branch predictor performance of C++ and C programs. Search on Bibsonomy IPCCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Toshinori Sato Decoupling Recovery Mechanism for Data Speculation from Dynamic Instruction Scheduling Structure. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15James M. Stichnoth, Guei-Yuan Lueh, Michal Cierniak Support for Garbage Collection at Every Instruction in a Java Compiler. Search on Bibsonomy PLDI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Java, Java, compilers, garbage collection
15Kentaro Shimada, Tatsuya Kawashimo, Makoto Hanawa, Ryo Yamagata, Eiki Kamada A Superscalar RISC Processor with 160 FPRs for Large Scale Scientific Processing. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF scientific processing, slide-windowed registers, large number of FPRs, SR8000, supercomputer, software prefetch
15Sriram Vajapeyam, P. J. Joseph, Tulika Mitra Dynamic Vectorization: A Mechanism for Exploiting Far-Flung ILP in Ordinary Programs. Search on Bibsonomy ISCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Tarun Nakra, Rajiv Gupta 0001, Mary Lou Soffa Value Prediction in VLIW Machines. Search on Bibsonomy ISCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Toshinori Sato Data Dependence Speculation Using Data Address Prediction and its Enhancement with Instruction Reissue. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF dynamic speculation of data dependence, instruction reissue, instruction level parallelism, out-of-order execution, address prediction
15David J. Kolson, Alexandru Nicolau, Nikil D. Dutt Copy Elimination for Parallelizing Compilers. Search on Bibsonomy LCPC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Bich C. Le An Out-of-Order Execution Technique for Runtime Binary Translators. Search on Bibsonomy ASPLOS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Avinash Sodani, Gurindar S. Sohi An Empirical Analysis of Instruction Repetition. Search on Bibsonomy ASPLOS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Nael B. Abu-Ghazaleh, Philip A. Wilsey, Xianzhi Fan, Debra A. Hensgen Synthesizing Variable Instruction Issue Interpreters for Implementing Functional Parallelism on SIMD Computers. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF MIMD on SIMD, variable instruction issue, scheduling instruction execution, interpretation, SIMD computers
15Jeffrey Dean, James E. Hicks, Carl A. Waldspurger, William E. Weihl, George Z. Chrysos ProfileMe: Hardware Support for Instruction-Level Profiling on Out-of-Order Processors. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Brad Calder, Peter Feller, Alan Eustace Value Profiling. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF profiling, invariance, compiler optimization
15Yiannakis Sazeides, James E. Smith 0001 The Predictability of Data Values. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Context Based Prediction, Stride Prediction, Last Value Prediction, Prediction, Value Prediction
15Freddy Gabbay, Avi Mendelson Can Program Profiling Support Value Prediction? Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF instruction-level parallelism, speculative execution, Value-prediction
15Eric Rotenberg, Quinn Jacobson, Yiannakis Sazeides, James E. Smith 0001 Trace Processors. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF trace processors, multiscalar processors, next trace prediction, selective reissuing, context-based value prediction, trace cache
15José González 0002, Antonio González 0001 Memory Address Prediction for Data Speculation. Search on Bibsonomy Euro-Par The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Lisa Higham, Jalal Kawash Critical Sections and Producer/Consumer Queues in Weak Memory Systems. Search on Bibsonomy ISPAN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF critical section problem, producer/consumer problem, non-sequential systems, TSO, distributed shared memory, PSO, memory consistency models
15Francesco Gregoretti, F. Intini, Luciano Lavagno, Roberto Passerone, Leonardo Maria Reyneri Design and Implementation of the Control Structure of the PAPRICA-3 Processor. Search on Bibsonomy PDP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF PAPRICA-3 processor, instruction execution, linear array processor PAPRICA-9, multi path queue structure, real-time systems, image processing, embedded systems, parallel architectures, image recognition, pipeline processing, array processor, pipeline architecture, application programs, real time image processing, control structure, image processing equipment, algorithmic efficiency
15Eliseu M. Chaves Filho, Edil S. T. Fernandes, Andrew Wolfe Load Balancing in Superscalar Architectures. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multiple functional units, parallel instruction execution, processor throughput, dynamic instruction-issuing algorithm, performance, load balancing, parallel architectures, instruction-level parallelism, superscalar processors, application program, computational load, superscalar architectures, hardware resources
15Yue Liu, David R. Kaeli Branch-Directed and Stride-Based Data Cache Prefetching. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
15Dean M. Tullsen, Susan J. Eggers, Joel S. Emer, Henry M. Levy, Jack L. Lo, Rebecca L. Stamm Exploiting Choice: Instruction Fetch and Issue on an Implementable Simultaneous Multithreading Processor. Search on Bibsonomy ISCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
15Chih-Ming Chang, Shih-Lien Lu Design of a static MIMD data flow processor using micropipelines. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
15Michael J. Wirthlin, Brad L. Hutchings A dynamic instruction set computer. Search on Bibsonomy FCCM The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
15Jack L. Lo, Susan J. Eggers Improving Balanced Scheduling with Compiler Optimizations that Increase Instruction-Level Parallelism. Search on Bibsonomy PLDI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
15M. Anton Ertl Stack Caching for Interpreters. Search on Bibsonomy PLDI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF C, FORTH
15Thomas M. Conte, Kishore N. Menezes, Patrick M. Mills, Burzin A. Patel Optimization of Instruction Fetch Mechanisms for High Issue Rates. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
15Jaushin Lee, Janak H. Patel Architectural level test generation for microprocessors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
15Andrei Voronkov An Implementation Technique for a Class of Bottom-Up Procedures. Search on Bibsonomy PLILP The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
15Uma Mahadevan, Sridhar Ramakrishnan Instruction Schedulimg over Regions: A Framework for Scheduling Across Basic Blocks. Search on Bibsonomy CC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
15Feipei Lai, Hung-Chang Lee, Chun-Luh Lee Optimization on instruction reorganization. Search on Bibsonomy MICRO The full citation details ... 1990 DBLP  BibTeX  RDF
15Makoto Amamiya, Rin-Ichiro Taniguchi Datarol: a massively parallel architecture for functional languages. Search on Bibsonomy SPDP The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
15Neff Walker, John B. Smelcer A comparison of selection time from walking and pull-down menus. Search on Bibsonomy CHI The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
15Terry Winograd What can we teach about human-computer interaction? (plenary address). Search on Bibsonomy CHI The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
15Hans Brunner A snapshot of natural language interfaces (panel). Search on Bibsonomy CHI The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
15Jakob Nielsen Designing for international use (panel). Search on Bibsonomy CHI The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
15S. Joy Mountford Designers: meet your users (panel). Search on Bibsonomy CHI The full citation details ... 1990 DBLP  DOI  BibTeX  RDF Apple Computer, HyperCard, Inc
15Marcy Telles Updating an older interface. Search on Bibsonomy CHI The full citation details ... 1990 DBLP  DOI  BibTeX  RDF WordStar
15David Roach, Hal Berghel, John R. Talburt An interactive source commenter for Prolog programs. Search on Bibsonomy SIGDOC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF Prolog
15Wen-mei W. Hwu, Thomas M. Conte, Pohua P. Chang Comparing Software and Hardware Schemes For Reducing the Cost of Branches. Search on Bibsonomy ISCA The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
15Kazuaki J. Murakami, Naohiko Irie, Morihiro Kuga, Shinji Tomita SIMP (Single Instruction stream/Multiple Instruction Pipelining): A Novel High-Speed Single-Processor Architecture. Search on Bibsonomy ISCA The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
15M. B. Ayati On the identity of decision support systems (abstract only). Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 5158 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license