The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for layout with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1971 (20) 1972-1975 (16) 1976-1977 (20) 1978-1979 (20) 1980-1981 (32) 1982 (25) 1983 (27) 1984 (37) 1985 (74) 1986 (48) 1987 (62) 1988 (82) 1989 (98) 1990 (141) 1991 (93) 1992 (87) 1993 (126) 1994 (92) 1995 (181) 1996 (154) 1997 (169) 1998 (201) 1999 (275) 2000 (248) 2001 (303) 2002 (386) 2003 (400) 2004 (490) 2005 (540) 2006 (550) 2007 (631) 2008 (574) 2009 (424) 2010 (259) 2011 (227) 2012 (227) 2013 (252) 2014 (253) 2015 (259) 2016 (265) 2017 (290) 2018 (315) 2019 (331) 2020 (351) 2021 (407) 2022 (390) 2023 (514) 2024 (110)
Publication types (Num. hits)
article(3614) book(9) data(3) incollection(68) inproceedings(7258) phdthesis(123) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(514) DAC(463) IEEE Trans. Comput. Aided Des....(412) ICCAD(186) ICDAR(166) GD(139) ASP-DAC(135) VLSI Design(115) IEEE Trans. Very Large Scale I...(113) ISPD(112) ISQED(112) ISCAS(109) DATE(102) IEEE Trans. Vis. Comput. Graph...(89) WSC(74) IEEE Trans. Computers(73) More (+10 of total 2371)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 6705 occurrences of 3042 keywords

Results
Found 11076 publication records. Showing 11076 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Jiayi Liu, Sheqin Dong, Yuchun Ma, Di Long, Xianlong Hong Thermal-driven Symmetry Constraint for Analog Layout with CBL Representation. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF thermal-optimal placement, thermal-driven symmetry constraint, analog layout, thermal constraint, hot-spot effect, temperature gradient, symmetrical devices, placement process, geometric symmetry, corner block list, thermal model
19Doosan Cho, Ilya Issenin, Nikil D. Dutt, Jonghee W. Yoon, Yunheung Paek Software controlled memory layout reorganization for irregular array access patterns. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF energy consumption, data layout, scratch pad memory
19Mira Dontcheva, Steven Mark Drucker, David Salesin, Michael F. Cohen Relations, cards, and search templates: user-guided web data integration and layout. Search on Bibsonomy UIST The full citation details ... 2007 DBLP  DOI  BibTeX  RDF template-based representation, view and layout editing, web content extraction, personalized web search
19Robert J. Cimikowski An analysis of some linear graph layout heuristics. Search on Bibsonomy J. Heuristics The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Linear graph layout, Approximation algorithm, Heuristic, Crossing number
19Jonathan M. Stott, Peter Rodgers 0001, Remo Aslak Burkhard, Michael Meier 0003, Matthias Thomas Jelle Smis Automatic Layout of Project Plans Using a Metro Map Metaphor. Search on Bibsonomy IV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF metro map layout problem, Project planning, knowledge visualization, multicriteria optimization
19Tingyuan Nie, Tomoo Kisaka, Masahiko Toyonaga A watermarking system for IP protection by a post layout incremental router. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF incremental router, intellectual property protection (IPP), post layout design, watermarking
19Aiqun Cao, Cheng-Kok Koh Post-layout logic optimization of domino circuits. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF optimization, synthesis, layout, domino logic
19Hyun Suk Kim, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Erik Brockmeyer, Francky Catthoor, Mary Jane Irwin Estimating influence of data layout optimizations on SDRAM energy consumption. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Ehrhart polynomial, Omega calculator, page break, energy, data locality, data layout, Presburger arithmetic, SDRAM
19Shawn Phillips, Scott Hauck Automatic layout of domain-specific reconfigurable subsystems for system-on-a-chip. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF automatic layout generation, domain-specific FPGA, system-on a-chip, standard cells
19André DeHon Compact, multilayer layout for butterfly fat-tree. Search on Bibsonomy SPAA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF VLSI layout theory, fat-pyramid, universal network, FPGA, multiprocessor, scaling, fat-tree
19Tomohiro Fukuda, Ryuichiro Nagahama, Junji Nomura Networked VR System: Kitchen Layout Design for Customers. Search on Bibsonomy VRML The full citation details ... 1997 DBLP  DOI  BibTeX  RDF EUC, RDB, VRML browser, kitchen layout design, Internet, Internet, WWW, virtual reality, VRML, VRML, script
19Jean-Daniel Boissonnat, Eelco de Lange, Monique Teillaud Minkowski Operations for Satellite Antenna Layout. Search on Bibsonomy SCG The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Minkowski operations, satellite layout, numerical stability, degeneracies
19A. Houelle, Habib Mehrez, Nicolas Vaucher, Luis A. Montalvo, Alain Guyot Application of fast layout synthesis environment to dividers evaluation. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1995 DBLP  DOI  BibTeX  RDF layout synthesis environment, dividers evaluation, GenOptim, IEEE 754 floating-point macro-cell generators, programming environments, generator programs, division, floating point arithmetic, square root, dividing circuits
19Naveen Buddi, Malgorzata Chrzanowska-Jeske, Charles L. Saxe Layout synthesis for datapath designs. Search on Bibsonomy EURO-DAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF routing, placement, layout, channel, datapath, bit-slice
19Thomas H. Spencer, Jacob Savir Layout Influences Testability. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1985 DBLP  DOI  BibTeX  RDF reconvergent fan-out, Boolean difference, layout, masking, fan-out
19Peter R. Cappello, Kenneth Steiglitz A VLSI Layout for a Pipelined Dadda Multiplier Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1983 DBLP  DOI  BibTeX  RDF complexity, VLSI, layout, multiplier
19David Steinberg, Michael Rodeh A Layout for the Shuffle-Exchange Network with O(N2/log3/2N) Area. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF permutation network shuffle-exchange, layout, Bisection
19Isao Shirakawa, Noboru Okuda, Takashi Harada, Sadahiro Tani, Hiroshi Ozaki A Layout System for the Random Logic Portion of an MOS LSI Chip. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF random logic, minimization of Boolean exeression, MOS ratioless circuit one-dimensional gate array problem, computer-aided design (CAD), layout, large-scale integration (LSI), Complex gate
19Takao Uehara, William M. van Cleemput Optimal Layout of CMOS Functional Arrays. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF LSI layout, CMOS circuit design, CMOS functional arrays, LSI design automation, computer-aided design, design automation
18Krystian Samp, Stefan Decker Supporting menu design with radial layouts. Search on Bibsonomy AVI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF radial layout, menus
18Yu-Chen Chen, Hou-Yu Pang, Kuen-Wen Lin, Rung-Bin Lin, Hui-Hsiang Tung, Shih-Chieh Su Via configurable three-input lookup-tables for structured ASICs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF via-configurable, layout, look-up-table, vlsi, structured ASIC
18Yuli Gao, Clayton Brian Atkins, Phil Cheatle, Jun Xiao 0003, Xuemei Zhang, Hui Chao, Peng Wu, Daniel Tretter, David Slatter, Andrew Carter, Roland Penny, Chris Willis MagicPhotobook: designer inspired, user perfected photo albums. Search on Bibsonomy ACM Multimedia The full citation details ... 2009 DBLP  DOI  BibTeX  RDF auto-crop, background assignment, image triage, page layout, photo albums, user-centered design, image clustering
18Deliang Jiang, Xiaohu Yang 0001 Converting PDF to HTML approach based on text detection. Search on Bibsonomy ICIS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF document conversion, HTML, layout, PDF
18Vivek Joshi, Brian Cline, Dennis Sylvester, David T. Blaauw, Kanak Agarwal Leakage power reduction using stress-enhanced layouts. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF performance, mobility, layout, leakage, stress
18Alexander Wolff 0001 Drawing Subway Maps: A Survey. Search on Bibsonomy Inform. Forsch. Entwickl. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Subway map, Octilinear layout, NP-hard, Graph drawing, Mixed-integer program, Graph labeling
18Jia Di, Parag K. Lala Cellular Array-based Delay-insensitive Asynchronous Circuits Design and Test for Nanocomputing Systems. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Reed-Muller expression, nanoscale circuit, layout, stuck-at fault, cellular arrays, delay-insensitive circuit
18Martin Hirzel Data layouts for object-oriented programs. Search on Bibsonomy SIGMETRICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF GC, cache, data placement, spatial locality, data layout, TLB, hardware performance counters, memory subsystem
18Qi Lin, Mei Ma, Tony Vo, Jenny Fan, Xin Wu, Richard Li, Xiao-Yu Li Design-for-Manufacture for Multi Gate Oxide CMOS Process. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multi gate oxide, FPGA, layout, yield, DFM
18Andreas Jürgen Lachenmann, Pedro José Marrón, Matthias Gauger, Daniel Minder, Olga Saukh, Kurt Rothermel Removing the memory limitations of sensor networks with flash-based virtual memory. Search on Bibsonomy EuroSys The full citation details ... 2007 DBLP  DOI  BibTeX  RDF wireless sensor networks, flash memory, virtual memory, memory layout
18Xiangye Xiao, Qiong Luo 0001, Xing Xie 0001, Wei-Ying Ma A comparative study on classifying the functions of web page blocks. Search on Bibsonomy CIKM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF block classification model, block function, content features, layout features, web page block, feature selection
18Di Long, Xianlong Hong, Sheqin Dong Signal-path driven partition and placement for analog circuit. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF analog placement, device merging, layout automation, signal-path, symmetry constrain, circuit partition
18Mathieu Raynal Claviers GAG: claviers logiciels optimisés pour la saisie de texte au stylet. Search on Bibsonomy IHM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF characters layout, optimisation, soft keyboard
18Virpi Roto, Andrei Popescu 0003, Antti Koivisto, Elina Vartiainen Minimap: a web page visualization method for mobile phones. Search on Bibsonomy CHI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Minimap, mobile Web browser, narrow layout, usability, information visualization, field study, small screen
18Roberto Therón Hierarchical-Temporal Data Visualization Using a Tree-Ring Metaphor. Search on Bibsonomy Smart Graphics The full citation details ... 2006 DBLP  DOI  BibTeX  RDF tree-ring layout, information visualization, focus + context
18Stéphane Huot, Eric Lecolinet SpiraList: a compact visualization technique for one-handed interaction with large lists on mobile devices. Search on Bibsonomy NordiCHI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF finger interaction, spiral layout, mobile interfaces, focus+context visualization
18Xianglong Huang, Brian T. Lewis, Kathryn S. McKinley Dynamic code management: improving whole program code locality in managed runtimes. Search on Bibsonomy VEE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF locality, virtual machines, code generation, dynamic optimization, performance monitoring, code layout
18James Burns, Jean-Luc Gaudiot Area and System Clock Effects on SMT/CMP Throughput. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF layout area estimation, microarchitecture trade off, processor architecture, SMT
18Koetsu Yamazaki, Xiaohong Ding Optimum Design of Cooling Pipe Systems by Branching Tree Model in Nature. Search on Bibsonomy System Modelling and Optimization The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Cooling Channel, Branch System, Bionic Design, Layout Optimization
18Hongbing Fan, Yu-Liang Wu Crossbar based design schemes for switch boxes and programmable interconnection networks. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF switch matrix, FPGA, routing, interconnection network, layout, crossbar, switch box
18Ganesh Venkataraman, Cliff C. N. Sze, Jiang Hu Skew scheduling and clock routing for improved tolerance to process variations. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF layout embedding, skew scheduling, reliability, process variation, clock routing
18Hai Huang 0002, Wanda Hung, Kang G. Shin FS2: dynamic data replication in free disk space for improving disk performance and energy consumption. Search on Bibsonomy SOSP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF disk layout reorganization, dynamic file system, free disk space, data replication
18Tim Dwyer, Yehuda Koren, Kim Marriott Stress Majorization with Orthogonal Ordering Constraints. Search on Bibsonomy GD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF separation constraints, constrained optimization, graph layout
18Tim Dwyer, Kim Marriott, Peter J. Stuckey Fast Node Overlap Removal. Search on Bibsonomy GD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF separation constraints, constrained optimization, graph layout
18Yukiko Kubo, Shigetoshi Nakatake, Yoji Kajitani, Masahiro Kawakita Explicit Expression and Simultaneous Optimization of Placement and Routing for Analog IC Layouts. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF mixed signal design, shape-based layout, placement, analog design, sequence-pair
18Anthony J. Hornof Visual search and mouse-pointing in labeled versus unlabeled two-dimensional visual hierarchies. Search on Bibsonomy ACM Trans. Comput. Hum. Interact. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF mouse pointing, screen layout design, Fitts' law, visual search
18Christian K. Shin, David S. Doermann, Azriel Rosenfeld Classification of document pages using structure-based features. Search on Bibsonomy Int. J. Document Anal. Recognit. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Document image categorization, Document image databases and retrieval, Layout structures, Self-organizing maps, Similarity searching, Visual similarity, Decision tree classifiers
18Mahmut T. Kandemir, Alok N. Choudhary, J. Ramanujam, Prithviraj Banerjee A Matrix-Based Approach to the Global Locality Optimization Problem. Search on Bibsonomy IEEE PACT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF optimizing for locality, global (whole program) optimization, combined unified loop and data transformations, loop transformations, data layout optimizations
18Jeffrey Z. Su, Wayne Wei-Ming Dai Post-route optimization for improved yield using a rubber-band wiring model. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Rubber-Band, Topological Wiring Even Wire Distribution, Yield, Design for Manufacturability, Spacing, Bridge Fault, Routability, Critical Area, Layout Optimization, Routing Congestion
18John H. Shamilian, Henry S. Baird, Thomas L. Wood A retargetable table reader. Search on Bibsonomy ICDAR The full citation details ... 1997 DBLP  DOI  BibTeX  RDF retargetable table reader, machine-printed documents, predefined tabular-data layout, textual data, record lines, fixed-width fields, field-specific contextual knowledge, small print, tight line-spacing, photocopies, line-art, background patterns, pitch-estimation, high-performance OCR, segmentation, graphical user interface, neural nets, document image processing, skew-correction
18João M. S. Alcântara, Carlo E. T. de Oliveira, Manuel L. Anido A Novel Circuit Extraction Tool Based on X-Spans and Y-Spans. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF circuit extraction tool, X-Spans, Y-Spans, maximally-horizontal layout regions, contiguous vertical regions, VLSI, data structure
18Seong Yong Ohm, Fadi J. Kurdahi, Nikil D. Dutt, Min Xu A comprehensive estimation technique for high-level synthesis. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF HLS benchmarks, RT level components, RTL datapaths, estimation technique, delays, high level synthesis, high-level synthesis, timing, design space exploration, granularity, hardware description languages, data flow graphs, registers, system buses, timing model, buses, behavioral description, layout area
18T. Smedes, N. P. van der Meijs, Arjan J. van Genderen Extraction of circuit models for substrate cross-talk. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Substrate Cross-talk, Layout Verification, Boundary Element Method, Green's Function
18Steven Wallace, Nirav Dagli, Nader Bagherzadeh Design and implementation of a 100 MHz centralized instruction window for a superscalar microprocessor. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF centralized instruction window, four instructions per cycle, compact layout, full-custom design, computer architecture, microprocessor chips, superscalar architecture, superscalar microprocessor, out-of-order issue, 100 MHz
18Surya B. Yadav Control and Definition Modularization: An Improved Software Design Technique for Organizing Programs. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF definition modularization, software design technique, organizing programs, control and definition modularization, systematic program layout, conceptual data object, execution flow, programs maintainability, structured design methodology, software engineering
18K. C. Chang 0001, David Hung-Chang Du A preprocessor for the via minimization problem. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF layout routing, via minimization
18Xiulian Hu, Yi-Fei Chuang E-commerce warehouse layout optimization: systematic layout planning using a genetic algorithm. Search on Bibsonomy Electron. Commer. Res. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Yiding Wei, Jun Liu, Dengbao Sun, Guodong Su, Junchao Wang From Netlist to Manufacturable Layout: An Auto-Layout Algorithm Optimized for Radio Frequency Integrated Circuits. Search on Bibsonomy Symmetry The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Catherine Chen, Zejiang Shen 0001, Dan Klein, Gabriel Stanovsky, Doug Downey, Kyle Lo Are Layout-Infused Language Models Robust to Layout Distribution Shifts? A Case Study with Scientific Documents. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Elad Levi, Eli Brosh, Mykola Mykhailych, Meir Perez DLT: Conditioned layout generation with Joint Discrete-Continuous Diffusion Layout Transformer. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Daichi Horita, Naoto Inoue, Kotaro Kikuchi, Kota Yamaguchi, Kiyoharu Aizawa Retrieval-Augmented Layout Transformer for Content-Aware Layout Generation. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Xiaohui Chen, Yongfei Liu, Yingxiang Yang, Jianbo Yuan, Quanzeng You, Li-Ping Liu 0001, Hongxia Yang Reason out Your Layout: Evoking the Layout Master from Large Language Models for Text-to-Image Synthesis. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Mingliang Zhang, Zhen Cao, Juntao Liu, Liqiang Niu, Fandong Meng, Jie Zhou 0016 WeLayout: WeChat Layout Analysis System for the ICDAR 2023 Competition on Robust Layout Segmentation in Corporate Documents. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Hiuyi Cheng, Peirong Zhang, Sihang Wu, Jiaxin Zhang 0003, Qiyuan Zhu, Zecheng Xie, Jing Li, Kai Ding 0009, Lianwen Jin M6Doc: A Large-Scale Multi-Format, Multi-Type, Multi-Layout, Multi-Language, Multi-Annotation Category Dataset for Modern Document Layout Analysis. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Mingyao Qi, Xinru Hao, Meng Yuan An Optimal Layout Pattern-Based Solution Approach to the Extended Machine Layout Problem With Multirow Multicolumn Structure. Search on Bibsonomy IEEE Trans Autom. Sci. Eng. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Elad Levi, Eli Brosh, Mykola Mykhailych, Meir Perez DLT: Conditioned layout generation with Joint Discrete-Continuous Diffusion Layout Transformer. Search on Bibsonomy ICCV The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Jichull Jeong, Hyeonjung Kim, Tae-Hyun Kim, Euihyun Cheon Post-layout simulation automation based on Reinforcement Learning using Schematic to Layout sync module. Search on Bibsonomy ICEIC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Hiuyi Cheng, Peirong Zhang, Sihang Wu, Jiaxin Zhang 0003, Qiyuan Zhu, Zecheng Xie, Jing Li, Kai Ding 0009, Lianwen Jin M6Doc: A Large-Scale Multi-Format, Multi-Type, Multi-Layout, Multi-Language, Multi-Annotation Category Dataset for Modern Document Layout Analysis. Search on Bibsonomy CVPR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Xi Zhu, Xue Han, Shuyuan Peng, Shuo Lei, Chao Deng, Junlan Feng Beyond Layout Embedding: Layout Attention with Gaussian Biases for Structured Document Understanding. Search on Bibsonomy EMNLP (Findings) The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Catherine Chen, Zejiang Shen 0001, Dan Klein, Gabriel Stanovsky, Doug Downey, Kyle Lo Are Layout-Infused Language Models Robust to Layout Distribution Shifts? A Case Study with Scientific Documents. Search on Bibsonomy ACL (Findings) The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Qiming Peng, Yinxu Pan, Wenjin Wang 0003, Bin Luo, Zhenyu Zhang 0006, Zhengjie Huang, Teng Hu, Weichong Yin, Yongfeng Chen, Yin Zhang 0006, Shikun Feng, Yu Sun, Hao Tian, Hua Wu 0003, Haifeng Wang 0001 ERNIE-Layout: Layout Knowledge Enhanced Pre-training for Visually-rich Document Understanding. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Eugenio Roanes-Lozano A Computational Approach to Overtaking Station Track Layout Design Using Graphs: An Extension That Supports Special Turnouts - An Improved Alternative Track Layout Proposal. Search on Bibsonomy Algorithms The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Fulin Jiang, Lin Li, Junjie Zhu, Xiaoping Liu A Hybrid Layout Method Based on GPU for the Logistics Facility Layout Problem. Search on Bibsonomy ChineseCSCW (2) The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Qiming Peng, Yinxu Pan, Wenjin Wang 0003, Bin Luo, Zhenyu Zhang 0006, Zhengjie Huang, Yuhui Cao, Weichong Yin, Yongfeng Chen, Yin Zhang 0006, Shikun Feng, Yu Sun, Hao Tian, Hua Wu 0003, Haifeng Wang 0001 ERNIE-Layout: Layout Knowledge Enhanced Pre-training for Visually-rich Document Understanding. Search on Bibsonomy EMNLP (Findings) The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Xiang Kong, Lu Jiang 0004, Huiwen Chang, Han Zhang 0010, Yuan Hao, Haifeng Gong, Irfan Essa BLT: Bidirectional Layout Transformer for Controllable Layout Generation. Search on Bibsonomy ECCV (17) The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Hongyan Wan, Wanting Ji, Guoqing Wu 0004, Xiaoyun Jia, Xue Zhan, Mengting Yuan, Ruili Wang A novel webpage layout aesthetic evaluation model for quantifying webpage layout design. Search on Bibsonomy Inf. Sci. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Xiang Kong, Lu Jiang 0004, Huiwen Chang, Han Zhang 0010, Yuan Hao, Haifeng Gong, Irfan Essa BLT: Bidirectional Layout Transformer for Controllable Layout Generation. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
18Hendrik Unger, Frank Börner Reinforcement Learning for Layout Planning - Modelling the Layout Problem as MDP. Search on Bibsonomy APMS (3) The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Weidong Zhang, Ying Liu Room Layout Estimation by Learning Depth Maps of Planes from 2D Layout Labels. Search on Bibsonomy M2VIP The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Lucan Tan Tien Boon, Nor Muzlifah Mahyuddin Speeding Parasitic-Extraction Stage in Layout-Change-Order Validation Cycle Through Net-Tracing and Layout Trimming. Search on Bibsonomy RoViSP The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Daniel Roßner, Claus Atzenbeck, Tom Gross Spatial Layout Versus List Layout: A Comparative Study. Search on Bibsonomy INTERACT (5) The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Jennifer I. Lather, Timothy Logan, Kate Renner, John I. Messner Implementation and Evaluation of Generative Layout Options Using the Graph Theoretical Approach for a Hospital Layout Problem. Search on Bibsonomy J. Comput. Civ. Eng. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Clara Fernandez-Labrador, José M. Fácil, Alejandro Pérez-Yus, Cédric Demonceaux, Javier Civera 0001, José Jesús Guerrero Corners for Layout: End-to-End Layout Recovery From 360 Images. Search on Bibsonomy IEEE Robotics Autom. Lett. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Kendrick M. Shepherd, René R. Hiemstra, Thomas J. R. Hughes The Quad Layout Immersion: A Mathematically Equivalent Representation of a Surface Quadrilateral Layout. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
18Daniel Marolt [Layout automation in analog IC design with formalized and nonformalized expert knowledge] ; SWARM: a novel methodology for integrated circuit layout automation based on principles of self-organization. Search on Bibsonomy 2020   RDF
18Moumita Chakraborty, Debasri Saha, Amlan Chakrabarti, Sayani Bindai A CAD approach for pre-layout optimal PDN design and its post-layout verification. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
18Yue Jiang 0002, Ruofei Du, Christof Lutteroth, Wolfgang Stuerzlinger ORC Layout: Adaptive GUI Layout with OR-Constraints. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
18Clara Fernandez-Labrador, José M. Fácil, Alejandro Pérez-Yus, Cédric Demonceaux, Javier Civera 0001, José Jesús Guerrero Corners for Layout: End-to-End Layout Recovery from 360 Images. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
18Yue Jiang 0002, Ruofei Du, Christof Lutteroth, Wolfgang Stuerzlinger ORC Layout: Adaptive GUI Layout with OR-Constraints. Search on Bibsonomy CHI The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
18Brett Settle, David Otasek, John H. Morris, Barry Demchak Copycat Layout: Network layout alignment via Cytoscape Automation. Search on Bibsonomy F1000Research The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Abdallah Namoun Three Column Website Layout vs. Grid Website Layout: An Eye Tracking Study. Search on Bibsonomy HCI (19) The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18S. M. Vadivel, A. H. Sequeira, Sunil Kumar Jauhar Metaheuristic for Optimize the India Speed Post Facility Layout Design and Operational Performance Based Sorting Layout Selection Using DEA Method. Search on Bibsonomy ISDA (2) The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Yiyong Xiao, Yue Xie, Sadan Kulturel-Konak, Abdullah Konak A problem evolution algorithm with linear programming for the dynamic facility layout problem - A general layout formulation. Search on Bibsonomy Comput. Oper. Res. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Ricarda Moses, Shah Rukh Humayoun, Ragaad AlTarawneh, Achim Ebert Evaluating Cognitive Load: Force-directed Layout vs. Chord Layout. Search on Bibsonomy EuroVis (Posters) The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Sudipta Paul 0001, Pritha Banerjee 0001, Susmita Sur-Kolay Post-Layout Perturbation towards Stitch Friendly Layout for Multiple E-Beam Lithography. Search on Bibsonomy ICCD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Nachshon Cohen, Arie Tal, Erez Petrank Layout Lock: A Scalable Locking Paradigm for Concurrent Data Layout Modifications. Search on Bibsonomy PPoPP The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Nuno Lourenço 0003, Ricardo Martins 0003, António Canelas, Ricardo Povoa, Nuno Horta AIDA: Layout-aware analog circuit-level sizing with in-loop layout generation. Search on Bibsonomy Integr. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Takuya Hirata, Ryuta Nishino, Shigetoshi Nakatake, Masaya Shimoyama, Masashi Miyagawa, Ryoichi Miyauchi, Koichi Tanno, Akihiro Yamada Subblock-Level Matching Layout for Analog Block-Pair and Its Layout-Dependent Manufacturability Evaluation. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Anukriti Bansal, Sumantra Dutta Roy, Gaurav Harit Extraction of Layout Entities and Sub-layout Query-based Retrieval of Document Images. Search on Bibsonomy CoRR The full citation details ... 2016 DBLP  BibTeX  RDF
18Junghoon Kim, Gwangjae Yu, Young Jae Jang Semiconductor FAB layout design analysis with 300-mm FAB data: "Is minimum distance-based layout design best for semiconductor FAB design?". Search on Bibsonomy Comput. Ind. Eng. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
18Jean-Philippe Fauconnier Acquisition de liens sémantiques à partir d'éléments de mise en forme des textes : exploitation des structures énumératives. (Acquisition of semantic relations from layout elements / Acquisition of semantic relations from layout elements : exploitation of enumerative structures). Search on Bibsonomy 2016   RDF
Displaying result #601 - #700 of 11076 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license