The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for lithography with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1974-1990 (18) 1991-1993 (19) 1994-1997 (20) 1998-2000 (15) 2001-2002 (16) 2003 (21) 2004 (19) 2005 (25) 2006 (35) 2007 (35) 2008 (58) 2009 (50) 2010 (37) 2011 (27) 2012 (32) 2013 (35) 2014 (39) 2015 (35) 2016 (35) 2017 (33) 2018 (35) 2019 (23) 2020 (16) 2021 (19) 2022 (18) 2023 (27) 2024 (6)
Publication types (Num. hits)
article(265) book(1) incollection(2) inproceedings(456) phdthesis(24)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 300 occurrences of 168 keywords

Results
Found 748 publication records. Showing 748 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16H. Radhakrishna, S. Divakar, N. Magotra, S. R. J. Bruek, A. Waters MPI-Based Parallel Omplementation of a Lithography Pattern Simulation Algorithm. Search on Bibsonomy HPCN The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16K. Preston White, Walter J. Trybula Operational simulation of an x-ray lithography cell: comparison of 200mm and 300mm wafers. Search on Bibsonomy WSC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Andrew B. Kahng, Y. C. Pati Subwavelength Lithography and Its Potential Impact on Design and EDA. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Andrew B. Kahng, Y. C. Pati, Warren Grobman, Robert Pack, Lance A. Glasser Subwavelength Lithography: How Will It Affect Your Design Flow? (Panel). Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Henry Guckel High-aspect-ratio micromachining via deep X-ray lithography. Search on Bibsonomy Proc. IEEE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Graham Pugh, John Canning, Bernie Roman Impact of high resolution lithography on IC mask design. Search on Bibsonomy CICC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Glenn Charles Abeln Fabrication of Metal and Organic Nanostructures on Silicon(100) With Scanning Tunneling Microscope-Based Lithography Search on Bibsonomy 1998   RDF
16David John Donat Carter Sub-50nm x-ray lithography with application to a coupled quantum dot device. Search on Bibsonomy 1998   RDF
16Jan-Erik Müller, Thomas Grave, Heinz J. Siweris, Martin Kärner, Andre Schäfer, Harald Tischer, Henning Riechert, Lothar Schleicher, Ludger Verweyen, Axel Bangert, Walter Kellner, Thomas Meier 0005 A GaAs HEMT MMIC chip set for automotive radar systems fabricated by optical stepper lithography. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Mordechai Rothschild, Anthony R. Forte, Roderick R. Kunz, Susan C. Palmateer, Janusz H. C. Sedlacek Lithography at a wavelength of 193 nm. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Jane M. Shaw, Jeffrey D. Gelorme, Nancy C. LaBianca, Will E. Conley, Steven J. Holmes Negative photoresists for optical lithography. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Robert D. Allen, Gregory M. Wallraff, Donald C. Hofer, Roderick R. Kunz Photoresists for 193-nm lithography. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Steven J. Holmes, Peter H. Mitchell, Mark C. Hakey Manufacturing with DUV lithography. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Hans A. Biebuyck, Niels B. Larsen, Emmanuel Delamarche, Bruno Michel Lithography beyond light: Microcontact printing with monolayer resists. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16George L.-T. Chiu, Jane M. Shaw Optical lithography: Introduction. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Timothy A. Brunner Impact of lens aberrations on optical lithography. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16H. Zumaqué, Gregory Allen Kohring, J. Hormes Simulation of Energy Deposition in Deep X-Ray Lithography. Search on Bibsonomy PARCO The full citation details ... 1997 DBLP  BibTeX  RDF
16Weizhong Dai, Raja Nassar, Danqing Jiang Domain Decomposition Method for Solving Three-Dimensional Parabolic Differential Equations Arising in Thermal Analysis in X-Ray Lithography. Search on Bibsonomy PPSC The full citation details ... 1997 DBLP  BibTeX  RDF
16Igor Bubel, Wojciech Maly, Thomas Waas, Pranab K. Nag, Hans Hartmann, Doris Schmitt-Landsiedel, Susanne Griep AFFCCA: a tool for critical area analysis with circular defects and lithography deformed layout. Search on Bibsonomy DFT The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Vincent V. Wong Fabrication of distributed feeback devices using X-ray lithography. Search on Bibsonomy 1995   RDF
16Scott D. Hector Optimization of image formation in X-ray lithography using rigorous electromagnetic theory and experiments. Search on Bibsonomy 1994   RDF
16Jeffrey A. Leavey, L. Grant Lesoine Design considerations for the IBM X-ray lithography facility. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Jerry Z. Y. Guo, Franco Cerrina Modeling X-ray proximity lithography. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Henry I. Smith, Mark L. Schattenburg X-ray lithography from 500 to 30 nm: X-ray nanolithography. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Alan D. Wilson X-ray lithography in IBM, 1980-1992, the development years. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Timothy R. Groves, John G. Hartley, Hans C. Pfeiffer, Denise Puisto, Donald K. Bailey Electron beam lithography tool for manufacture of X-ray masks. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Chas Archie Performance of the IBM synchrotron X-ray source for lithography. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16David Seeger Resist materials and processes for X-ray lithography. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Eberhard Spiller Early history of X-ray lithography at IBM. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Martin C. Peckerar, Juan R. Maldonado X-ray lithography-an overview. Search on Bibsonomy Proc. IEEE The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Yoshinao Aoki, Shin Tanahashi, Osamu Kinoshita, Hideaki Nakamura Development of a System for Producing Stereo Ground Models by Optical Lithography. Search on Bibsonomy MVA The full citation details ... 1992 DBLP  BibTeX  RDF
16Sunao Ishihara, Atsunobu Une, Munenori Kanai, Masanori Suzuki, Makoto Fukuda, Fujio Omata A Vertical X-ray Stepper for SOR Lithography. Search on Bibsonomy J. Robotics Mechatronics The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
16Kathleen Early Experimental characterization and physical modeling of resolution limits in proximity printing x-ray lithography. Search on Bibsonomy 1991   RDF
16Anthony Yen Fabrication of large-area 100 nm-period gratings using achromatic holographic lithography. Search on Bibsonomy 1991   RDF
16Masashi Nakao, Kenji Sato, Toshio Nishida, Toshiaki Tamamura Distributed Feedback Laser Arrays Fabricated by Synchrotron Orbital Radiation Lithography. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16M. Gentili, A. Lucchesini, L. Scopa, Paolo Lugli, A. Paoletti, G. Messina, S. Santangelo, A. Tucciarone Modeling of electron beam scattering in high resolution lithography for the fabrication of X-Ray masks. Search on Bibsonomy Eur. Trans. Telecommun. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16Robert C. Frye, Kevin D. Cummings, Edward A. Rietman Proximity Effect Corrections in Electron Beam Lithography. Search on Bibsonomy NIPS The full citation details ... 1990 DBLP  BibTeX  RDF
16Robert C. Frye, Edward A. Rietman, Kevin D. Cummings Computation of proximity effect corrections in electron beam lithography by a neural network. Search on Bibsonomy IJCNN The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16Takashi Nakai, Yoji Marutani Fabrication of Three - Dimensional Objects Using Laser Lithography. Search on Bibsonomy Syst. Comput. Jpn. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
16Roberto Guerrieri, Andrew R. Neureuther Simulation of microcrack effects in dissolution of positive resist exposed by X-ray lithography. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
16Kazuhiko Komatsu, Masanori Suzuki The Outline Procedure in Pattern Data Preparation for Vector-Scan Electron-Beam Lithography. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
16Wojciech Maly Modeling of Lithography Related Yield Losses for CAD of VLSI Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
16Sherri J. Gillespie Resist Profile Control in E-Beam Lithography. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
16H. R. Rottmann Overlay in Lithography. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
16Mihir Parikh Proximity Effects in Electron Lithography: Magnitude and Correction Techniques. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
16Donald E. Davis Registration Mark Detection for Electron-Beam Lithography - EL1 System. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
16Mihir Parikh, Donald E. Schreiber Pattern Partitioning for Enhanced Proximity-Effect Corrections in Electron-Beam Lithography. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
16Faik S. Ozdemir Electron beam lithography. Search on Bibsonomy DAC The full citation details ... 1979 DBLP  BibTeX  RDF
16Eiichi Goto, Takashi Soma, Masanori Idesawa, Tateaki Sasaki Electron beam lithography for advanced LSl fabrication. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1978 DBLP  BibTeX  RDF
16Dale L. Critchlow High Speed MOSFET Circuits Using Advanced Lithography. Search on Bibsonomy Computer The full citation details ... 1976 DBLP  DOI  BibTeX  RDF
16Jerome Doutriaux, Abrahim Lavi An Evolutionary Approach to Process Control with Application to Lithography. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
12Konstantin Moiseev, Avinoam Kolodny, Shmuel Wimer Interconnect power and delay optimization by dynamic programming in gridded design rules. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF gridded design rules, interconnect sizing and spacing, power-delay optimization, dynamic programming, interconnect optimization
12Ou He, Sheqin Dong, Jinian Bian, Satoshi Goto, Chung-Kuan Cheng Bus via reduction based on floorplan revising. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF floorplan revising, via reduction, bus routing
12Linda Dailey Paulson News Briefs. Search on Bibsonomy Computer The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Mehdi Baradaran Tahoori Low-overhead defect tolerance in crossbar nanoarchitectures. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reconfigurable architectures, nanotechnology, Defect tolerance
12Wei Zhang 0012, Niraj K. Jha, Li Shang A hybrid Nano/CMOS dynamically reconfigurable system - Part II: Design optimization flow. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF design optimization flow, logic folding, Dynamic reconfiguration, NATURE
12Victor Erokhin, Tatiana Berzina, Svetlana Erokhina, Marco P. Fontana Organic Memristors and Adaptive Networks. Search on Bibsonomy NanoNet The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Organic memristor, conducting and ionic polymers, polymer fibers, adaptive networks
12Takuma Nakano, Keisuke Yoshida, Seiichi Ikeda, Hiroyuki Oura, Toshio Fukuda, Takehisa Matsuda, Makoto Negoro, Fumihito Arai Multi-scale transparent arteriole and capillary vessel models for circulation type blood vessel simulator. Search on Bibsonomy IROS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Fumitaka Saito, Koichi Suzumori Micro rubber structure realizing multi-legged passive walking -integration and miniaturization by micro rubber molding process-. Search on Bibsonomy IROS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Costas Argyrides, Giorgos Dimosthenous, Dhiraj K. Pradhan, Carlos Arthur Lang Lisbôa, Luigi Carro Reliability aware yield improvement technique for nanotechnology based circuits. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reliability, nanotechnology, yield improvement
12Saroj K. Nayak Carbon nanotube, graphene and atomic wires as next generation interconnects: current status and future promise. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF quantum simulation, performance, design, reliability
12Aarti Choudhary, Sandip Kundu A process variation tolerant self-compensating FinFET based sense amplifier design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF sense amplifier, robustness, process -variation, yield, sram, finfet
12Mehdi Baradaran Tahoori BISM: built-in self map for hybrid crossbar nano-architectures. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF crossbar array, emerging nanotechnologies, logic mapping
12Simeon Realov, William F. McLaughlin, Kenneth L. Shepard On-chip transistor characterization arrays with digital interfaces for variability characterization. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12M. Haykel Ben Jamaa, Kirsten E. Moselund, David Atienza, Didier Bouvet, Adrian M. Ionescu, Yusuf Leblebici, Giovanni De Micheli Variability-Aware Design of Multilevel Logic Decoders for Nanoscale Crossbar Memories. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Andrew B. Kahng, Chul-Hong Park, Xu Xu 0001 Fast Dual-Graph-Based Hotspot Filtering. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Yici Cai, Qiang Zhou 0001, Xianlong Hong, Rui Shi, Yang Wang Application of optical proximity correction technology. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF layout, rules-based, OPC, model-based, IC
12K. P. Zhu, Yoke San Wong, Geok Soon Hong Noise-Robust Tool Condition Monitoring in Micro-milling with Hidden Markov Models. Search on Bibsonomy Soft Computing Applications in Industry The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Sandip Kundu The Guiding Light for Chip Testing. Search on Bibsonomy DDECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Costas Argyrides, Stephania Loizidou, Dhiraj K. Pradhan Area Reliability Trade-Off in Improved Reed Muller Coding. Search on Bibsonomy SAMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Brian Cline, Kaviraj Chopra, David T. Blaauw, Andres Torres, Savithri Sundareswaran Transistor-Specific Delay Modeling for SSTA. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Abhishek Das, Berkin Özisikyilmaz, Serkan Ozdemir, Gokhan Memik, Joseph Zambreno, Alok N. Choudhary Evaluating the effects of cache redundancy on profit. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Ming-Chao Tsai, Yung-Chia Lin, Ting-Chi Wang An MILP-based wire spreading algorithm for PSM-aware layout modification. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Nishath Verghese, Richard Rouse, Philippe Hurat Predictive models and CAD methodology for pattern dependent variability. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Pranav Agarwal, Deepak R. Sahoo, Abu Sebastian, Haralampos Pozidis, Murti V. Salapaka Modeling and identification of the dynamics of electrostatically actuated microcantilever with integrated thermal sensor. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Shengyuan Yang, Shi Shu Robust Feature Extraction for the Composite Surface Mesh from STL File. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Jae-Seok Yang, David Z. Pan Overlay aware interconnect and timing variation modeling for double patterning technology. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Alexey Lvov, Ulrich Finkler Exact basic geometric operations on arbitrary angle polygons using only fixed size integer coordinates. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Aswin Sreedhar, Sandip Kundu Modeling and analysis of non-rectangular transistors caused by lithographic distortions. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Norma Rodriguez, Li Song, Shishir Shroff, Kuang Han Chen, Taber Smith, Wilbur Luo Hotspot Prevention Using CMP Model in Design Implementation Flow. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CMP modeling, CMP aware design, hotspot
12Hailong Jiao, Lan Chen Cellwise OPC Based on Reduced Standard Cell Library. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cellwise OPC, reduced standard cell library, design for manufacturability
12Sambuddha Bhattacharya, Shabbir H. Batterywala, Subramanian Rajagopalan, Hi-Keung Tony Ma, Narendra V. Shenoy On Efficient and Robust Constraint Generation for Practical Layout Legalization. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Layout legalization, constraint reduction, compaction, constraint generation
12Ronaldo Ronaldo, Thomas Papastathis, Hongyi Yang, Carsten Tietje, Michele Turitto, Svetan M. Ratchev In Situ Microassembly. Search on Bibsonomy IPAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF in situ microassembly, projection micro stereolithography, rapid prototyping, microassembly
12Olivier Smal, Benoît Raucent, Frederik Ceyssens, Robert Puers, Michaël De Volder, Dominiek Reynaerts Design and Testing of an Ortho-Planar Micro-Valve. Search on Bibsonomy IPAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Hao Wang, Wenzhen Sun, Xiangang Luo The Design of a Nanometer Biosensor and Its Microfluidic Integration. Search on Bibsonomy RAM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Puneet Gupta 0001, Andrew B. Kahng, Chul-Hong Park Detailed Placement for Enhanced Control of Resist and Etch CDs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Amyn Poonawala, Peyman Milanfar Mask Design for Optical Microlithography-An Inverse Imaging Problem. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12John H. Reif, Thomas H. LaBean Autonomous Programmable Biomolecular Devices Using Self-assembled DNA Nanostructures. Search on Bibsonomy WoLLIC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Chung-Shing Wang, Chao-Yin Hsiao, Teng-Ruey Chang, Chin-Kun Teng STL mesh reconstruction for bio-medical rapid prototyping model. Search on Bibsonomy SMC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Jingyu Xu, Subarna Sinha, Charles C. Chiang Accurate detection for process-hotspots with vias and incomplete specification. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Maciej Paszynski, Barbara Barabasz, Robert Schaefer Efficient Adaptive Strategy for Solving Inverse Problems. Search on Bibsonomy International Conference on Computational Science (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Molecular Statics, Finite Element Method, Inverse problems, hp adaptivity
12Maciej Paszynski Agents Based Hierarchical Parallelization of Complex Algorithms on the Example of hp Finite Element Method. Search on Bibsonomy International Conference on Computational Science (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Finite Element Method, Computational agents, hp adaptivity, Hierarchical parallelization
12Bram Adams, Kris De Schutter An aspect for idiom-based exception handling: (using local continuation join points, join point properties, annotations and type parameters). Search on Bibsonomy SPLAT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12S. Ramsundar, Ahmad A. Al-Yamani, Dhiraj K. Pradhan Defect Tolerance in Nanotechnology Switches Using a Greedy Reconfiguration Algorithm. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Robert C. Aitken Defect or Variation? Characterizing Standard Cell Behavior at 90nm and below. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Hua Xiang 0001, Liang Deng, Li-Da Huang, Martin D. F. Wong OPC-Friendly Bus Driven Floorplanning. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Daniel Mazor, Michael L. Bushnell, David J. Mulligan, Richard J. Blaikie Fault Models and Device Yield of a Large Population of Room Temperature Operation Single-Electron Transistors. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Swarup Bhunia, Saibal Mukhopadhyay, Kaushik Roy 0001 Process Variations and Process-Tolerant Design. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Min-Chun Tsai, Daniel Zhang, Zongwu Tang Modeling Litho-Constrained Design Layout. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Brian Taylor, Larry T. Pileggi Exact Combinatorial Optimization Methods for Physical Design of Regular Logic Bricks. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 748 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license