The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for loop with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1955-1964 (16) 1965-1969 (20) 1970-1972 (23) 1973 (16) 1974 (25) 1975 (17) 1976 (20) 1977 (22) 1978 (26) 1979 (28) 1980 (26) 1981 (20) 1982 (32) 1983 (18) 1984 (34) 1985 (29) 1986 (31) 1987 (42) 1988 (75) 1989 (61) 1990 (82) 1991 (98) 1992 (106) 1993 (117) 1994 (189) 1995 (200) 1996 (176) 1997 (221) 1998 (225) 1999 (313) 2000 (388) 2001 (438) 2002 (492) 2003 (548) 2004 (757) 2005 (859) 2006 (1005) 2007 (1084) 2008 (1187) 2009 (905) 2010 (558) 2011 (557) 2012 (604) 2013 (651) 2014 (698) 2015 (680) 2016 (747) 2017 (824) 2018 (957) 2019 (1069) 2020 (1114) 2021 (1101) 2022 (1274) 2023 (1341) 2024 (320)
Publication types (Num. hits)
article(9253) book(13) data(8) incollection(118) inproceedings(12883) phdthesis(175) proceedings(16)
Venues (Conferences, Journals, ...)
CoRR(1255) ISCAS(394) CDC(357) ICRA(354) ACC(330) IEEE Access(308) IROS(286) IEEE Trans. Ind. Electron.(241) IEEE J. Solid State Circuits(231) IEEE Trans. Commun.(221) IECON(193) Autom.(183) Sensors(176) IEEE Trans. Circuits Syst. II ...(137) ECC(114) EMBC(113) More (+10 of total 3445)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 6626 occurrences of 3075 keywords

Results
Found 22479 publication records. Showing 22466 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Yixin Shou, Robert van Engelen, Johnnie Birch Flow-Sensitive Loop-Variant Variable Classification in Linear Time. Search on Bibsonomy LCPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Maneesha Yellepeddi, Kartikeya Mayaram Issues in the Design and Simulation of a MEMS VCO based Phase-Locked Loop. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Ali Mili 0001, Tim Daly, Mark G. Pleszkoch, Stacy J. Prowell A Semantic Recognizer Infrastructure for Computing Loop Behavior. Search on Bibsonomy HICSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Yawen Chen 0001, Hong Shen 0001 RWA of Hypercube Communications Embedded on A Family of Optical Double-Loop Networks. Search on Bibsonomy ICON The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Guochen Hua, Meng Wang 0005, Zili Shao, Hui Liu 0006, Chun Xue Real-Time Loop Scheduling with Energy Optimization Via DVS and ABB for Multi-core Embedded System. Search on Bibsonomy EUC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Md. Mafijul Islam Predicting Loop Termination to Boost Speculative Thread-Level Parallelism in Embedded Applications. Search on Bibsonomy SBAC-PAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Liping Xue, Mahmut T. Kandemir, Guilin Chen, Feihui Li, Ozcan Ozturk 0001, Rajaraman Ramanarayanan, Balaji Vaidyanathan Locality-Aware Distributed Loop Scheduling for Chip Multiprocessors. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Anthony T. Chronopoulos, Lionel M. Ni, Satish Penmatsa Multi-dimensional dynamic loop scheduling algorithms. Search on Bibsonomy CLUSTER The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Rade Kutil A Single-Loop Approach to SIMD Parallelization of 2-D Wavelet Lifting. Search on Bibsonomy PDP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Thomas J. Ashby, Michael F. P. O'Boyle Iterative Collective Loop Fusion. Search on Bibsonomy CC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Alban Douillet, Hongbo Rong, Guang R. Gao Multi-dimensional Kernel Generation for Loop Nest Software Pipelining. Search on Bibsonomy Euro-Par The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Jose Herrera, Eduardo Huedo, Rubén S. Montero, Ignacio Martín Llorente Loosely-coupled loop scheduling in computational grids. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Gennette Gill, John Hansen, Montek Singh Loop pipelining for high-throughput stream computation using self-timed rings. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Wei Shu, Joseph Sylvester Chang, Tong Ge, Meng Tong Tan Fourier series analysis of the nonlinearities in analog closed-loop PWM class D amplifiers. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Xiangyang Ji, Debin Zhao, Wen Gao 0001, Jizheng Xu, Feng Wu 0001 An efficient SNR scalability coding framework hybrid open-close loop FGS coding. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Kuo-Hsing Cheng, Kai-Fei Chang, Yu-Lung Lo, Ching-Wen Lai, Yuh-Kuang Tseng A 100MHz-1GHz adaptive bandwidth phase-locked loop in 90nm process. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Florin Balasa, Per Gunnar Kjeldsberg, Martin Palkovic, Arnout Vandecappelle, Francky Catthoor Loop Transformation Methodologies for Array-Oriented Memory Management. Search on Bibsonomy ASAP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Ganesh Srinivasan, Abhijit Chatterjee, Friedrich Taenzler Alternate Loop-Back Diagnostic Tests for Wafer-Level Diagnosis of Modern Wireless Transceivers using Spectral Signatures. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Tatiana Tabirca, Sabin Tabirca, Laurence Tianruo Yang An O(logp) Algorithm for the Discrete Feedback Guided Dynamic Loop. Search on Bibsonomy AINA (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Shih-Hsu Huang, Chun-Hua Cheng Operation Scheduling for False Loop Free Circuits. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Heidi E. Ziegler, Mary W. Hall Evaluating heuristics in automatically mapping multi-loop applications to FPGAs. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF high-level and architectural synthesis, parallelizing compiler analysis techniques, synthesis techniques for configurable computing, FPGAs, pipelining, rapid prototyping, hardware design
18Momchil Milev, Rod Burt A Tool and Methodology for AC-Stability Analysis of Continuous-Time Closed-Loop Systems. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Antonio A. F. Oliveira, Saulo Ribeiro, Claudio Esperança, Gilson A. Giraldi Loop Snakes: The Generalized Model. Search on Bibsonomy IV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Abhik Roychoudhury, Tulika Mitra, Hemendra Singh Negi Analyzing Loop Paths for Execution Time Estimation. Search on Bibsonomy ICDCIT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Erik Hertzler, David Van Sickle 300mm time constrained queue loop management. Search on Bibsonomy WSC The full citation details ... 2005 DBLP  BibTeX  RDF
18Sam Jeong, Jung Han A Loop Transformation Using Two Parallel Region Partitioning Method. Search on Bibsonomy APPT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Elise de Doncker, Shujun Li, Yoshimitsu Shimizu, Junpei Fujimoto, Fukuko Yuasa Regularization and Extrapolation Methods for Infrared Divergent Loop Integrals. Search on Bibsonomy International Conference on Computational Science (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18João M. P. Cardoso Dynamic loop pipelining in data-driven architectures. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF compilation, reconfigurable computing, software pipelining, dataflow, data-driven architectures
18Apan Qasem, Ken Kennedy A Cache-Conscious Profitability Model for Empirical Tuning of Loop Fusion. Search on Bibsonomy LCPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Ming-Ta Hsieh, Gerald E. Sobelman Clock and data recovery with adaptive loop gain for spread spectrum SerDes applications. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Ying-Jiun Hsieh, Yavuz A. Bozer Analytical Modeling of Closed-Loop Conveyors with Load Recirculation. Search on Bibsonomy ICCSA (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Conveyor systems, Manufacturing systems, Material handling
18Vivek S. Borkar, Arzad Alam Kherani, B. J. Prabhu Closed and Open Loop Optimal Control of Buffer and Energy of a Wireless Device. Search on Bibsonomy WiOpt The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Robert A. Soni, R. Michael Buehrer On the performance of open-loop transmit diversity techniques for IS-2000 systems: a comparative study. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Keun Chul Hwang, Kwang Bok Lee Efficient weight vector representation for closed-loop transmit diversity. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Yongkang Zhu, Grigorios Magklis, Michael L. Scott, Chen Ding 0001, David H. Albonesi The Energy Impact of Aggressive Loop Fusion. Search on Bibsonomy IEEE PACT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18João M. P. Cardoso, Pedro C. Diniz Modeling Loop Unrolling: Approaches and Open Issues. Search on Bibsonomy SAMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Jan Müller 0001, Dirk Fimmel, Renate Merker Exploitation of Instruction-Level Parallelism for Optimal Loop Scheduling. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18S. Wanchana, T. Benjanarasuth, D. Isarakorn, J. Ngamwiwit, N. Komine Phase-locked loop process control system using LQR approach. Search on Bibsonomy ICARCV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Heiko Falk, Manish Verma Combined Data Partitioning and Loop Nest Splitting for Energy Consumption Minimization. Search on Bibsonomy SCOPES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Emre Özer 0001, Andy Nisbet, David Gregg Fine-Tuning Loop-Level Parallelism for Increasing Performance of DSP Applications on FPGAs. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Kirt M. Noël, Kay C. Wiese Exploring the Use of Stem-Loop Characteristics for Pinpointing Structural RNA Genes. Search on Bibsonomy CSB The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Paolo Cusinato, Stefano Cipriani, Guglielmo Sirna, Gianni Puccio, Eric Duvivier Gain/bandwidth programmable PA control loop for GMS/GPRS quad-band applications. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Ji Hwan (Paul) Chun, Hak-soo Yu, Jacob A. Abraham An efficient linearity test for on-chip high speed ADC and DAC using loop-back. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF BIST, linearity, ADC, mixed signal test, DAC
18Jan Müller 0001, Dirk Fimmel, Renate Merker Optimal Loop Scheduling with Register Constraints Using Flow Graphs. Search on Bibsonomy ISPAN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Marcus Bednara, Jürgen Teich Automatic Synthesis of FPGA Processor Arrays from Loop Algorithms. Search on Bibsonomy J. Supercomput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF regular processor arrays, FPGA, design automation, space-time mapping
18Suzhen Lin, G. Manimaran Double-Loop Feedback-Based Scheduling Approach for Distributed Real-Time Systems. Search on Bibsonomy HiPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Fumiaki Tanaka, Atsushi Kameda, Masahito Yamamoto, Azuma Ohuchi The Effect of the Bulge Loop upon the Hybridization Process in DNA Computing. Search on Bibsonomy ICES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF DNA computing
18Charlotte Y. Lau, Michael H. Perrott Fractional-N frequency synthesizer design at the transfer function level using a direct closed loop realization algorithm. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF fractional-N, sigma, design, PLL, frequency, delta, synthesizer
18Natasha Sharygina, James C. Browne Model Checking Software via Abstraction of Loop Transitions. Search on Bibsonomy FASE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Yi Qian, Steve Carr 0001, Philip H. Sweany Optimizing Loop Performance for Clustered VLIW Architectures. Search on Bibsonomy IEEE PACT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Peng Wu 0001, Paul Feautrier, David A. Padua, Zehra Sura Instance-wise points-to analysis for loop-based dependence testing. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF pointer arrays, Java, dependence analysis, pointer analysis, heap analysis
18Clecio Donizete Lima, Tadao Nakamura Exploiting Loop-Level Parallelism with the Shift Architecture. Search on Bibsonomy SBAC-PAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Xianglong Huang, Steve Carr 0001, Philip H. Sweany Loop Transformations for Architectures with Partitioned Register Banks. Search on Bibsonomy LCTES/OM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18John W. Sias, Hillery C. Hunter, Wen-mei W. Hwu Enhancing loop buffering of media and telecommunications applications using low-overhead predication. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Tatiana Tabirca, Len Freeman, Sabin Tabirca A Theoretical Application of Feedback Guided Dynamic Loop Scheduling. Search on Bibsonomy IWCC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Chian C. Ho, Chung J. Kuo Oversampling sigma-delta modulator stabilized by two local nonlinear feedback loop techniques. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Ilias Panayiotopoulos, Phillip Constantinou Performance Improvement of Nonuniform Polarity-DPLL Symbol Synchronizers by Using Novel Adaptive Statistical Loop Filtering Technique. Search on Bibsonomy ISCC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Nawaaz Ahmed, Nikolay Mateev, Keshav Pingali Synthesizing transformations for locality enhancement of imperfectly-nested loop nests. Search on Bibsonomy ICS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Benyong Zhang, Philip E. Allen Feed-forward compensated high switching speed digital phase-locked loop frequency synthesizer. Search on Bibsonomy ISCAS (4) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Fenghao Mu, Christer Svensson High speed multistage CMOS clock buffers with pulse width control loop. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Mahmut T. Kandemir, Alok N. Choudhary, J. Ramanujam, Prithviraj Banerjee A Framework for Interprocedural Locality Optimization Using Both Loop and Data Layout Transformations. Search on Bibsonomy ICPP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Ananthanarayanan Chockalingam, Laurence B. Milstein Open-loop power control performance in DS-CDMA networks with frequency selective fading and non-stationary base stations. Search on Bibsonomy Wirel. Networks The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Jian-Feng Shi, Liang-Fang Chao Resource-Constrained Algebraic Transformation for Loop Pipelining. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Christian Lengauer Loop Parallelization in the Polytope Model. Search on Bibsonomy CONCUR The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Wei Li 0015, Keshav Pingali Access Normalization: Loop Restructuring for NUMA Compilers. (long version: TOCS 11(4): 353-375) Search on Bibsonomy ASPLOS The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
18Gert Goossens, Joos Vandewalle, Hugo De Man Loop Optimization in Register-Transfer Scheduling for DSP-Systems. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
18William J. Collins The trouble with for-loop invariants. Search on Bibsonomy SIGCSE The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
18Saumya K. Debray Unfold/Fold Transformations and Loop Optimization of Logic Programs. Search on Bibsonomy PLDI The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
18Hans Kleine Büning, Ulrich Löwen, Stefan Schmitgen Loop Detection in Propositional Prolog Programs. Search on Bibsonomy CSL The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
18Janice E. Cuny, Lawrence Snyder Conversion from Data-Driven to Synchronous Execution in Loop Programs. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
18Sasa Misailovic, Stelios Sidiroglou, Henry Hoffmann, Martin C. Rinard Quality of service profiling. Search on Bibsonomy ICSE (1) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF loop perforation, quality of service, profiling
18Gregory C. L. Lum, David Y. Y. Yun Web-Enabled 3D Game Playing for Looped Knight's Tour. Search on Bibsonomy INTETAIN The full citation details ... 2009 DBLP  DOI  BibTeX  RDF knight’s tour, 3D grid box, solitaire game, community challenges, growing solved database, Web interaction, SketchUp, Google, closed-loop
18Yang Liu, Ashok Kumar Srivastava, Yao Xu A switchable PLL frequency synthesizer and hot carrier effects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cmos phase-locked loop, hot carrier effects, jitter, voltage-controlled oscillator, phase noise
18Antonio D'Angelo, Enrico Pagello, H. Yuasa Issues on Autonomous Agents from a Roboticle Perspective. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Roboticle framework, Autopoietic loop, Autonomous robots
18Jing Zhou, Guosun Zeng A general data dependence analysis for parallelizing compilers. Search on Bibsonomy J. Supercomput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Dependence test, Integer interval theory, Nonlinear subscript, Nested loop
18Jean Sreng, Anatole Lécuyer, Claude Andriot Using Vibration Patterns to Provide Impact Position Information in Haptic Manipulation of Virtual Objects. Search on Bibsonomy EuroHaptics The full citation details ... 2008 DBLP  DOI  BibTeX  RDF open-loop haptic, vibration patterns, contact location, impact
18Jurgen Westerhoff Fear Inducer: A Mixed Reality Audio Experience. Search on Bibsonomy ICEC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF audio experience, thrilling experience, biofeedback-loop, mixed reality
18Mingyi Zhang 0002, Ying Zhang, Fangzhen Lin A characterization of answer sets for logic programs. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF nested logic programming, characterization of answer sets, completion semantics, tightness, loop formulas
18Anton H. C. Smith, Antonello Monti, Ferdinanda Ponci Robust stability and performance analysis using polynomial chaos theory. Search on Bibsonomy SCSC The full citation details ... 2007 DBLP  BibTeX  RDF closed-loop control, uncertainty, modeling and simulation, random process
18Sangho Choe CDMA Power Control Using Channel Prediction in Mobile Fading Channels. Search on Bibsonomy WWIC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Predictive closed-loop power control (CLPC), channel prediction, time-varying mobile fading, robustness
18Javed Absar, Min Li 0001, Praveen Raghavan, Andy Lambrechts, Murali Jayapala, Arnout Vandecappelle, Francky Catthoor Locality optimization in wireless applications. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF access, reuse, layout, spatial, temporal, loop-nest
18Tao Wei, Jian Mao, Wei Zou, Yu Chen A New Algorithm for Identifying Loops in Decompilation. Search on Bibsonomy SAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Loop identifying, Unstructuredness coefficient, Control flow analysis, Decompilation
18Li Zhang 0066, Ping-Ping Zhou, Gong-bin Qian, Zhen Ji A DWT Blind Image Watermarking Strategy with Secret Sharing. Search on Bibsonomy PCM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF blind image watermarking, secret sharing, closed loop, Stirmark
18B. S. Manoj 0001, Vutukuru Mythili Ranganath, C. Siva Ram Murthy On Using Multidimensional Markov Chains for Performance Evaluation of Hybrid Wireless Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Wireless in Local Loop, multihop relaying, multidimensional Markov chains, performance evaluation
18Hsueh-Chih Yang, Lan-Rong Dung On multiple-voltage high-level synthesis using algorithmic transformations. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF loop shrinking, multiple voltage scheduling, high-level synthesis, retiming, unfolding, low power circuit
18Junwei Zhou, Andrew J. Mason Increasing design space of the instruction queue with tag coding. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF instruction queue, wakeup/select loop
18Chung-Hsing Hsu, Ulrich Kremer A Quantitative Analysis of Tile Size Selection Algorithms. Search on Bibsonomy J. Supercomput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF memory hierarchy optimization, array padding, quantitative case study, performance models, compiler optimizations, loop tiling
18Qing Yi, Ken Kennedy, Haihang You, Keith Seymour, Jack J. Dongarra Automatic blocking of QR and LU factorizations for locality. Search on Bibsonomy Memory System Performance The full citation details ... 2004 DBLP  DOI  BibTeX  RDF LU, locality, blocking, loop optimizations, LAPACK, QR
18Zhao-Hui Du, Chu-Cheow Lim, Xiao-Feng Li, Chen Yang, Qingyu Zhao, Tin-Fook Ngai A cost-driven compilation framework for speculative parallelization of sequential programs. Search on Bibsonomy PLDI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF cost-driven compilation, speculative parallel threading, loop transformation, thread-level speculation, speculative multithreading, speculative parallelization
18Surin Kittitornkun, Yu Hen Hu Processor Array Synthesis from Shift-Variant Deep Nested Do Loops. Search on Bibsonomy J. Supercomput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF systolic mapping, FPGA, motion estimation, reconfigurable computing, nested loop
18Martin John Burbidge, Frédéric Poullet, Jim Tijou, Andrew Richardson 0001 Investigations for Minimum Invasion Digital Only Built-In "Ramp" Based Test Techniques for Charge Pump PLL's. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF test, DfT, BIST, jitter, phase locked loop
18Ioana Banicescu, Vijay Velusamy, Johnny Devaprasad On the Scalability of Dynamic Scheduling Scientific Applications with Adaptive Weighted Factoring. Search on Bibsonomy Clust. Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF dynamic loop scheduling, scalability, load balancing, factoring, scientific applications
18Dinesh C. Suresh, Walid A. Najjar, Frank Vahid, Jason R. Villarreal, Greg Stitt Profiling tools for hardware/software partitioning of embedded applications. Search on Bibsonomy LCTES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF loop analysis, compiler optimization, hardware/software partitioning
18Manuel Arenaz, Juan Touriño, Ramon Doallo A GSA-based compiler infrastructure to extract parallelism from complex loops. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF GSA, loop-level kernel recognition, parallelizing compilers, strongly connected components
18Won-Ki Jeong, Kolja Kähler, Hans-Peter Seidel Subdivision Surface Simplification. Search on Bibsonomy PG The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Loop scheme, subdivision surface, mesh simplification, quadric error metric
18Andrey V. Mezhiba, Eby G. Friedman Properties of on-chip inductive current loops. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF loop inductance, inductance, inductive coupling
18Mahmut T. Kandemir, J. Ramanujam Data Relation Vectors: A New Abstraction for Data Optimizations. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF compiler optimizations for memory hierarchy, reuse vectors, data relation vectors, loop transformations, Data reuse, cache locality, memory layouts
18Kemal A. Delic, Umeshwar Dayal Knowledge-Based Support Services: Monitoring and Adaptation. Search on Bibsonomy DEXA Workshops The full citation details ... 2000 DBLP  DOI  BibTeX  RDF knowledge-based support services, customer support service business, support personnel, automatic problem solving, Hewlett Packard Corporation, monitoring loop, evolving needs, adaptation, knowledge management, knowledge-based system, decision making, productivity, knowledge sharing, business data processing, knowledge extraction, enterprise, knowledge creation, knowledge repositories, knowledge dissemination
18Martin Griebl, Paul Feautrier, Christian Lengauer On Index Set Splitting. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF index set splitting, polytope model, Automatic parallelization, loop parallelization
18Markus Weinhardt, Wayne Luk Pipeline Vectorization for Reconfigurable Systems. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF hardware pipelines, FPGAs, reconfigurable computing, vectorization, loop transformations
Displaying result #601 - #700 of 22466 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license