|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 4193 occurrences of 1488 keywords
|
|
|
Results
Found 3723 publication records. Showing 3723 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
18 | Shobana Balakrishnan, Füsun Özgüner |
Providing message delivery guarantees in pipelined flit-buffered multiprocessor networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Real Time Technology and Applications Symposium ![In: 2nd IEEE Real-Time Technology and Applications Symposium, RTAS '96, Boston, MA, USA, June 10-12, 1996, pp. 120-129, 1996, IEEE Computer Society, 0-8186-7448-2. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
message delivery guarantees, pipelined flit-buffered multiprocessor networks, periodic messages, multiple virtual channels, unbounded priority inversion, global priority order, flow control mechanism, preemptive pipelined circuit switching, preemption history stack, flit level simulations, feasible messages, real-time systems, parallel processing, message passing, wormhole routing, distributed memory systems, pipeline processing, real-time applications, distributed memory multiprocessors |
18 | Christoph W. Kessler |
Pattern-driven automatic program transformation and parallelization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: 3rd Euromicro Workshop on Parallel and Distributed Processing (PDP '95), January 25-27, 1995, San Remo, Italy, pp. 76-83, 1995, IEEE Computer Society, 0-8186-7031-2. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
PARAMAT system, sequential numeric codes, dense vectors, distributed memory message-passing multiprocessors, pattern recognition tool, pattern recognition, parallel algorithm, parallel programming, parallelization, software tools, distributed memory systems, automatic programming, program semantics, automatic program transformation |
18 | Koray Öner, Luiz André Barroso, Sasan Iman, Jaeheon Jeong, Krishnan Ramamurthy, Michel Dubois 0001 |
The Design of RPM: An FPGA-based Multiprocessor Emulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the Third International ACM Symposium on Field-Programmable Gate Arrays,FPGA 1995, Monterey, California, USA, February 12-14, 1995, pp. 60-66, 1995, ACM, 0-89791-743-X. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
field-programmable gate arrays, VHDL, rapid prototyping, shared-memory multiprocessors, logic emulation, message-passing multicomputers |
18 | Peter S. Magnusson, Bengt Werner |
Efficient memory simulation in SimICS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Annual Simulation Symposium ![In: Proceedings 28st Annual Simulation Symposium (SS '95), April 25-28, 1995, Santa Barbara, California, USA, pp. 62-73, 1995, IEEE Computer Society, 0-8186-7091-6. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
efficient memory simulation, SimICS, instruction level simulator, complex memory hierarchies, user level code, system level code, software caching mechanism, Simulator Translation Cache, STC, interpreted memory operations, complex memory simulation code, lazy storage allocation, well defined internal interface, generic memory simulation, user extensions, threaded code, runtime selection, statistics gathering, memory profiling, data structures, data structures, virtual machines, multiprocessors, storage management, storage allocation |
18 | Guillermo A. Alvarez, Marcelo O. Fernández, Ragelio A. Alvez, Sylvia Rodriguez, Julio A. Sánchez Avalos, Jorge L. C. Sanz |
Run-time support for asynchronous parallel computations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS ![In: Proceedings of IPPS '95, The 9th International Parallel Processing Symposium, April 25-28, 1995, Santa Barbara, California, USA, pp. 833-837, 1995, IEEE Computer Society, 0-8186-7074-6. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
asynchronous parallel computations, DREAM, asynchronous multiprocessors, global distributed arrays, dynamic communication patterns, performance evaluation, scalability, parallel computations, programming environments, multiprocessing systems, network latency, run-time support, performance results, distributed programming environment |
18 | Amitabh Mishra, Yeimkuan Chang, Laxmi N. Bhuyan, Fabrizio Lombardi |
Fault-tolerant sorting in SIMD hypercubes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS ![In: Proceedings of IPPS '95, The 9th International Parallel Processing Symposium, April 25-28, 1995, Santa Barbara, California, USA, pp. 312-318, 1995, IEEE Computer Society, 0-8186-7074-6. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
fault-tolerant sorting, SIMD hypercubes, fault tolerant computing, multiprocessors, sorting, multiprocessing systems, hypercube networks, node failures, radix sort |
18 | Timothy J. McBrayer, Philip A. Wilsey |
Process combination to increase event granularity in parallel logic simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS ![In: Proceedings of IPPS '95, The 9th International Parallel Processing Symposium, April 25-28, 1995, Santa Barbara, California, USA, pp. 572-578, 1995, IEEE Computer Society, 0-8186-7074-6. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
event granularity, VHDL description, parallel processing, logic CAD, circuit analysis computing, logic circuits, logic circuits, symmetric multiprocessors, logic simulation, digital system design, parallel logic simulation, parallel logic simulators |
18 | Paraskevi Fragopoulou, Selim G. Akl |
Efficient algorithms for global data communication on the multidimensional torus network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS ![In: Proceedings of IPPS '95, The 9th International Parallel Processing Symposium, April 25-28, 1995, Santa Barbara, California, USA, pp. 324-330, 1995, IEEE Computer Society, 0-8186-7074-6. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
global data communication, multidimensional torus network, parallel multiprocessors, spanning graph, multinode broadcasting, all-port communication model, performance evaluation, performance, algorithms, parallel algorithms, multiprocessing systems, optimal algorithms, interprocessor communication, scattering, store-and-forward |
18 | Michael Marchetti, Leonidas I. Kontothanassis, Ricardo Bianchini, Michael L. Scott |
Using simple page placement policies to reduce the cost of cache fills in coherent shared-memory systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS ![In: Proceedings of IPPS '95, The 9th International Parallel Processing Symposium, April 25-28, 1995, Santa Barbara, California, USA, pp. 480-485, 1995, IEEE Computer Society, 0-8186-7074-6. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
page placement policies, cache fills, OS-based page placement, page replication, performance, shared memory systems, shared-memory systems, storage management, operating systems (computers), cache storage, paged storage, distributed shared memory multiprocessors, page migration |
18 | Qidong Xu, Patricia J. Teller |
Unified vs. split TLBs and caches in shared-memory MP systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS ![In: Proceedings of IPPS '95, The 9th International Parallel Processing Symposium, April 25-28, 1995, Santa Barbara, California, USA, pp. 398-403, 1995, IEEE Computer Society, 0-8186-7074-6. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
data references, performance evaluation, caches, discrete event simulation, shared-memory multiprocessors, shared memory systems, trace-driven simulations, cache storage, performance gains, translation-lookaside buffer |
18 | Hea-Sook Park, Sung-Jin Moon, Hwan-Gun Yeo, Kwang-Suk Song |
An application of real-time IPC controller based ATM cell. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: 2nd International Workshop on Real-Time Computing Systems and Applications, October 25 - 27, 1995, Tokyo, Japan, pp. 250-, 1995, IEEE Computer Society, 0-8186-7106-8. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
real-time IPC controller, ATM cell, ATM switching system, IPC protocol, real message ratio, real-time systems, asynchronous transfer mode, multiprocessors, multiprocessing systems, telecommunication computing |
18 | Jelica Protic, Milo Tomasevic, Veljko M. Milutinovic |
A survey of distributed shared memory systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS (1) ![In: 28th Annual Hawaii International Conference on System Sciences (HICSS-28), January 3-6, 1995, Kihei, Maui, Hawaii, USA, pp. 74-84, 1995, IEEE Computer Society, 0-8186-6945-4. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
shared memory programming paradigm, physically distributed memories, classification taxonomy, classification criteria, DSM mechanism, hybrid DSM implementations, distributed systems, parallel programming, shared memory multiprocessors, shared memory systems, distributed memory systems, distributed shared memory systems, DSM systems |
18 | Isabelle Attali, Denis Caromel, Andrew L. Wendelborn |
From a formal dynamic semantics of Sisal to a Sisal environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS (2) ![In: 28th Annual Hawaii International Conference on System Sciences (HICSS-28), January 3-6, 1995, Kihei, Maui, Hawaii, USA, pp. 266-267, 1995, IEEE Computer Society, 0-8186-6945-4. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
formal dynamic semantics, Sisal environment, Sisal 2.0, structural operational style, Typoi inference rules, Centaur system, generic specification environment, strongly typed language, single assignment language, vector machines, formal specification, parallel programming, software tools, multiprocessors, programming environments, parallel languages, parallel processors, Sisal, Natural Semantics, formal definition, dataflow machines |
18 | William T. Rankin, John A. Board Jr. |
A Portable Distributed Implementation of the Parallel Multipole Tree Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPDC ![In: Proceedings of the 4th International Symposium on High Performance Distributed Computing (HPDC '95), Washington, DC, USA, August 2-4, 1995., pp. 17-22, 1995, IEEE Computer Society, 0-8186-7088-6. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
astronomy computing, portable distributed implementation, parallel multipole tree algorithm, loosely coupled networks, tightly coupled distributed multiprocessors, parallel algorithms, multiprocessing systems, chemistry computing, computational chemistry |
18 | David Kotz |
Disk-Directed I/O for an Out-of-Core Computation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPDC ![In: Proceedings of the 4th International Symposium on High Performance Distributed Computing (HPDC '95), Washington, DC, USA, August 2-4, 1995., pp. 159-166, 1995, IEEE Computer Society, 0-8186-7088-6. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
disk-directed I/O, large multiprocessors, LU-decomposition program, file systems, synchronisation, file organisation, magnetic disc storage, out-of-core computation, input-output programs |
18 | Alan Edelman, Steve Heller, S. Lennart Johnsson |
Index Transformation Algorithms in a Linear Algebra Framework. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 5(12), pp. 1302-1309, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
indextransformation algorithms, linear algebra framework, Gray code encoding, vector reversal, hypercube communications algorithms, Gauss-Jordan elimination, encoding, hypercube networks, decoding, decoding, linear algebra, Gray codes, shuffles, hypercube multiprocessors, matrix transpose, bit reversal |
18 | Kai Li 0001, Jeffrey F. Naughton, James S. Plank |
Low-Latency, Concurrent Checkpointing for Parallel Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 5(8), pp. 874-879, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
low latency concurrent checkpointing, programrestarting, overall checkpointing time, interruption time, overlapping operations, parallel programming, parallel programs, metrics, fault tolerant computing, efficiency, software reliability, shared-memory multiprocessors, system recovery, program diagnostics, overhead, backward error recovery, copy-on-write, faulttolerance |
18 | Marius V. A. Hâncu, Kazuhiko Iwasaki, Yuji Sato, Mamoru Sugie |
A Concurrent Test Architecture for Massively Parallel Computers and Its Error Detection Capability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 5(11), pp. 1169-1184, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
concurrent test architecture, onlinemonitoring, system level monitoring, run-timetesting, message source address, messagedestination address, block compressed sequence, concurrent instruction compression, computational block, reference image, onlinesystem-level testing, data routing process, packet-switched routing, compilation, probability, multiprocessors, error detection, error detection, parallel machines, data dependences, network routing, signature analysis, control dependences, massively parallel computers, computer testing, aliasing probability, control flow checking |
18 | Robert Kramer, Rajiv Gupta 0001, Mary Lou Soffa |
The Combining DAG: A Technique for Parallel Data Flow Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 5(8), pp. 805-813, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
parallel data flow analysis, sequential data flow algorithm, parallel data flow algorithms, rapid data flow problems, cyclic structures, combining directed acyclic graph, parallelized sequential algorithm, performance, parallel algorithms, parallel algorithm, parallel processing, parallel programming, software tools, multiprocessors, directed graphs, program compilers, DAG, parallel compilers, control flow graph, sequential algorithm |
18 | Ten H. Tzen, Lionel M. Ni |
Trapezoid Self-Scheduling: A Practical Scheduling Scheme for Parallel Compilers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 4(1), pp. 87-98, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
processor self-scheduling, trapezoid self-scheduling, parallel nested loops, run-time scheduling overhead, chunk size, Butterfly GP-1000, scheduling, load balancing, parallel programming, memory management, shared-memory multiprocessors, program compilers, shared memory systems, parallel compilers, parallelprograms, dynamic allocation, loop iterations |
18 | Daniel Lenoski, James Laudon, Truman Joe, David Nakahira, Luis Stevens, Anoop Gupta, John L. Hennessy |
The DASH Prototype: Logic Overhead and Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 4(1), pp. 41-61, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
DASH project, large-scale shared-memory multiprocessors, directory-based cachecoherence, reference behavior, DASHprotocol, atomic tests, performance evaluation, parallel programming, shared memory systems, storage management, buffer storage, hardware performance monitor, coherent caches |
18 | Sang Lyul Min, Jean-Loup Baer |
Design and Analysis of a Scalable Cache Coherence Scheme Based on Clocks and Timestamps. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 3(1), pp. 25-44, 1992. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
cache contents reuse, scalable cache coherence, multiple privatecaches, compile-time marking, hardware-based local incoherence detection, program flow, parallel programming, shared memory multiprocessors, storage management, clocks, trace-driven simulation, buffer storage, timestamps, references |
18 | S. T. Kim, K. Suwunboriruksa, S. Herath, Anura P. Jayasumana, Jayantha A. Herath |
Algorithmic Transformations for Neural Computing and Performance of Supervised Learning on a Dataflow Machine. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 18(7), pp. 613-623, 1992. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
reprogrammable dataflow neural classifiers, neural-dataflow transformations, dataflow algorithmic transformations, dataflow multiprocessors, high level data dependency, machine executable low-level dataflow graph, tagged token dataflow algorithmic transformation, performance evaluation, performance, parallel architectures, supervised learning, neural nets, granularity, learning systems, functional languages, neural computing, computerized pattern recognition, algorithmic transformations, dataflow machine |
18 | Philip J. Hatcher, Michael J. Quinn, Anthony J. Lapadula, Bradley K. Seevers, Ray J. Anderson, Robert R. Jones |
Data-Parallel Programming on MIMD Computers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 2(3), pp. 377-383, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
data-parallel programming language Dataparallel C, nCUBE hypercubemulticomputers, Sequent multiprocessors, Dataparallel C programs, Intel iPSC/2, nCUBE 3200, Sequent Symmetry, hypercubenetworks, parallel programming, compilers, program compilers, parallel languages, speedups, execution times, C language, Intel |
18 | Péter Szeredi, Rong Yang, Mats Carlsson |
Interfacing Engines and Schedulers in OR-Parallel Prolog Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PARLE (2) ![In: PARLE '91: Parallel Architectures and Languages Europe, Volume II: Parallel Languages, Eindhoven, The Netherlands, June 10-13, 1991, Proceedings, pp. 439-453, 1991, Springer, 3-540-54152-7. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
Or-Parallel Execution, Scheduling, Multiprocessors, Implementation Techniques |
18 | Vernon Rego, Aditya P. Mathur |
Exploiting Parallelism Across Program Execution: A Unification Technique and Its Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 1(4), pp. 399-414, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
vector multiprocessors, nonregressive graphs, optimality, parallel programming, parallelism, programming theory, unification, vector processors, sequential programs, source-to-source transformation, program graphs |
13 | Martin Schoeberl, Florian Brandner, Jan Vitek |
RTTM: real-time transactional memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2010 ACM Symposium on Applied Computing (SAC), Sierre, Switzerland, March 22-26, 2010, pp. 326-333, 2010, ACM, 978-1-60558-639-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
real-time systems, transactional memory |
13 | Anastasia Ailamaki |
Database systems in the multicore era. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: SPAA 2010: Proceedings of the 22nd Annual ACM Symposium on Parallelism in Algorithms and Architectures, Thira, Santorini, Greece, June 13-15, 2010, pp. 40, 2010, ACM, 978-1-4503-0079-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
deep memory hierarchies, multithreaded storage management, multicore systems |
13 | Susmit Sarkar, Peter Sewell, Francesco Zappa Nardelli, Scott Owens, Tom Ridge, Thomas Braibant, Magnus O. Myreen, Jade Alglave |
The semantics of x86-CC multiprocessor machine code. ![Search on Bibsonomy](Pics/bibsonomy.png) |
POPL ![In: Proceedings of the 36th ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages, POPL 2009, Savannah, GA, USA, January 21-23, 2009, pp. 379-391, 2009, ACM, 978-1-60558-379-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
semantics, relaxed memory models |
13 | UmaMaheswari C. Devi |
Scheduling Recurrent Precedence-Constrained Task Graphs on a Symmetric Shared-Memory Multiprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2009 Parallel Processing, 15th International Euro-Par Conference, Delft, The Netherlands, August 25-28, 2009. Proceedings, pp. 265-280, 2009, Springer, 978-3-642-03868-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
13 | Avinash Malik, Zoran A. Salcic, Alain Girault, Adam Walker, Sung Chul Lee |
A customizable multiprocessor for Globally Asynchronous Locally Synchronous execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
JTRES ![In: Proceedings of the 7th International Workshop on Java Technologies for Real-Time and Embedded Systems, JTRES 2009, Madrid, Spain, September 23-25, 2009, pp. 120-129, 2009, ACM, 978-1-60558-732-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
synchronous and asynchronous concurrency, multiprocessor, GALS, reactivity |
13 | Ricardo Fernández Pascual, José M. García 0001, Manuel E. Acacio, José Duato |
Extending the TokenCMP Cache Coherence Protocol for Low Overhead Fault Tolerance in CMP Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 19(8), pp. 1044-1056, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
13 | Christian Bienia, Sanjeev Kumar, Jaswinder Pal Singh, Kai Li 0001 |
The PARSEC benchmark suite: characterization and architectural implications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 17th International Conference on Parallel Architectures and Compilation Techniques, PACT 2008, Toronto, Ontario, Canada, October 25-29, 2008, pp. 72-81, 2008, ACM, 978-1-60558-282-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
benchmark suite, shared-memory computers, multithreading, performance measurement |
13 | Gianfranco Bilardi |
Models for Parallel and Hierarchical On-Chip Computation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par Workshops ![In: Euro-Par 2008 Workshops - Parallel Processing, VHPC 2008, UNICORE 2008, HPPC 2008, SGS 2008, PROPER 2008, ROIA 2008, and DPA 2008, Las Palmas de Gran Canaria, Spain, August 25-26, 2008, Revised Selected Papers, pp. 127-128, 2008, Springer, 978-3-642-00954-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
13 | Yunlian Jiang, Xipeng Shen |
Exploration of the Influence of Program Inputs on CMP Co-scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2008 - Parallel Processing, 14th International Euro-Par Conference, Las Palmas de Gran Canaria, Spain, August 26-29, 2008, Proceedings, pp. 263-273, 2008, Springer, 978-3-540-85450-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
13 | John R. Douceur |
Performance analysis in the real world. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the 2008 ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems, SIGMETRICS 2008, Annapolis, MD, USA, June 2-6, 2008, pp. 469-470, 2008, ACM, 978-1-60558-005-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
kernel performance, large-scale services, profiling tools, middleware, performance analysis, storage systems, engineering |
13 | Ricardo Fernández Pascual, José M. García 0001, Manuel E. Acacio, José Duato |
A Low Overhead Fault Tolerant Coherence Protocol for CMP Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 13st International Conference on High-Performance Computer Architecture (HPCA-13 2007), 10-14 February 2007, Phoenix, Arizona, USA, pp. 157-168, 2007, IEEE Computer Society, 1-4244-0804-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
13 | Wojciech M. Golab, Vassos Hadzilacos, Danny Hendler, Philipp Woelfel |
Constant-RMR implementations of CAS and other synchronization primitives using read and write operations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODC ![In: Proceedings of the Twenty-Sixth Annual ACM Symposium on Principles of Distributed Computing, PODC 2007, Portland, Oregon, USA, August 12-15, 2007, pp. 3-12, 2007, ACM, 978-1-59593-616-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
comparison primitives, shared memory, consensus, mutual exclusion, remote memory references |
13 | Guojing Cong, David A. Bader |
Techniques for Designing Efficient Parallel Graph Algorithms for SMPs and Multicore Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPA ![In: Parallel and Distributed Processing and Applications, 5th International Symposium, ISPA 2007, Niagara Falls, Canada, August 29-31, 2007, Proceedings, pp. 137-147, 2007, Springer, 978-3-540-74741-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Shared Memory, Spanning Tree, Minimum Spanning Tree, Biconnected Components |
13 | Liping Xue, Ozcan Ozturk 0001, Mahmut T. Kandemir |
A Memory-Conscious Code Parallelization Scheme. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 44th Design Automation Conference, DAC 2007, San Diego, CA, USA, June 4-8, 2007, pp. 230-233, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
13 | Sebastian Burckhardt, Rajeev Alur, Milo M. K. Martin |
Bounded Model Checking of Concurrent Data Types on Relaxed Memory Models: A Case Study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAV ![In: Computer Aided Verification, 18th International Conference, CAV 2006, Seattle, WA, USA, August 17-20, 2006, Proceedings, pp. 489-502, 2006, Springer, 3-540-37406-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
13 | Hee Seo, Seon Wook Kim |
OpenMP Directive Extension for BlackFin 561 Dual Core Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIT ![In: Sixth International Conference on Computer and Information Technology (CIT 2006), 20-22 September 2006, Seoul, Korea, pp. 49, 2006, IEEE Computer Society, 0-7695-2687-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
13 | James D. Balfour, William J. Dally |
Design tradeoffs for tiled CMP on-chip networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 20th Annual International Conference on Supercomputing, ICS 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006, pp. 187-198, 2006, ACM, 1-59593-282-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
13 | Yujia Jin, William Plishker, Kaushik Ravindran, Nadathur Satish, Kurt Keutzer |
Soft multiprocessor systems for network applications (abstract only). ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 13th International Symposium on Field Programmable Gate Arrays, FPGA 2005, Monterey, California, USA, February 20-22, 2005, pp. 271, 2005, ACM, 1-59593-029-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
13 | Francisco J. Villa, Manuel E. Acacio, José M. García 0001 |
Memory Subsystem Characterization in a 16-Core Snoop-Based Chip-Multiprocessor Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCC ![In: High Performance Computing and Communications, First International Conference, HPCC 2005, Sorrento, Italy, September 21-23, 2005, Proceedings, pp. 213-222, 2005, Springer, 3-540-29031-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
13 | Jaydeep Marathe, Frank Mueller 0001, Bronis R. de Supinski |
A hybrid hardware/software approach to efficiently determine cache coherence Bottlenecks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 19th Annual International Conference on Supercomputing, ICS 2005, Cambridge, Massachusetts, USA, June 20-22, 2005, pp. 21-30, 2005, ACM, 1-59593-167-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
SMPs, program instrumentation, coherence protocols, hardware performance monitoring, cache analysis, dynamic binary rewriting |
13 | Kostas Bousias, Chris R. Jesshope |
The Challenges of Massive On-Chip Concurrency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asia-Pacific Computer Systems Architecture Conference ![In: Advances in Computer Systems Architecture, 10th Asia-Pacific Conference, ACSAC 2005, Singapore, October 24-26, 2005, Proceedings, pp. 157-170, 2005, Springer, 3-540-29643-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
13 | Shih-Wei Liao, Zhaohui Du, Gansha Wu, Guei-Yuan Lueh |
A Code Generation Algorithm for Affine Partitioning Framework. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS (2) ![In: 11th International Conference on Parallel and Distributed Systems, ICPADS 2005, Fuduoka, Japan, July 20-22, 2005, pp. 17-21, 2005, IEEE Computer Society, 0-7695-2281-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
13 | Anilkumar Nambiar, Vipin Chaudhary |
On Tools for Modeling High-Performance Embedded Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUC ![In: Embedded and Ubiquitous Computing - EUC 2005, International Conference EUC 2005, Nagasaki, Japan, December 6-9, 2005, Proceedings, pp. 360-370, 2005, Springer, 3-540-30807-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
13 | Juan Carlos Pichel, Dora Blanco Heras, José Carlos Cabaleiro, Francisco F. Rivera |
A New Technique to Reduce False Sharing in Parallel Irregular Codes Based on Distance Functions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPAN ![In: 8th International Symposium on Parallel Architectures, Algorithms, and Networks, ISPAN 2005, December 7-9. 2005, Las Vegas, Nevada, USA, pp. 306-311, 2005, IEEE Computer Society, 0-7695-2509-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
13 | Zeshan Chishti, Michael D. Powell, T. N. Vijaykumar |
Optimizing Replication, Communication, and Capacity Allocation in CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 32st International Symposium on Computer Architecture (ISCA 2005), 4-8 June 2005, Madison, Wisconsin, USA, pp. 357-368, 2005, IEEE Computer Society, 978-0-7695-2270-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
13 | Daehyun Kim, Mainak Chaudhuri, Mark A. Heinrich, Evan Speight |
Architectural Support for Uniprocessor and Multiprocessor Active Memory Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 53(3), pp. 288-307, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Active memory systems, address remapping, flexible memory controller architecture, distributed shared memory, cache coherence protocol |
13 | José María López, José Luis Díaz, Daniel F. García |
Minimum and Maximum Utilization Bounds for Multiprocessor Rate Monotonic Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 15(7), pp. 642-653, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
13 | Bianca Schroeder, Mor Harchol-Balter |
Evaluation of Task Assignment Policies for Supercomputing Servers: The Case for Load Unbalancing and Fairness. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Clust. Comput. ![In: Clust. Comput. 7(2), pp. 151-161, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
performance evaluation, load balancing, fairness, task scheduling |
13 | Robert Danek, Vassos Hadzilacos |
Local-Spin Group Mutual Exclusion Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DISC ![In: Distributed Computing, 18th International Conference, DISC 2004, Amsterdam, The Netherlands, October 4-7, 2004, Proceedings, pp. 71-85, 2004, Springer, 3-540-23306-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
13 | Dania A. El-Kebbe |
Hybrid Real-Time Task Scheduling Upon Multiprocessor Platforms Using Server Techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISORC ![In: 6th IEEE International Symposium on Object-Oriented Real-Time Distributed Computing (ISORC 2003), 14-16 May 2003, Hakodate, Hokkaido, Japan, pp. 277-284, 2003, IEEE Computer Society, 0-7695-1928-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
13 | Daniel J. Sorin, Mark D. Hill, David A. Wood 0001 |
Dynamic Verification of End-to-End Multiprocessor Invariants. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSN ![In: 2003 International Conference on Dependable Systems and Networks (DSN 2003), 22-25 June 2003, San Francisco, CA, USA, Proceedings, pp. 281-290, 2003, IEEE Computer Society, 0-7695-1952-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
13 | Dania A. El-Kebbe |
Real-Time Hybrid Task Scheduling Upon Multiprocessor Production Stages. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 22-26 April 2003, Nice, France, CD-ROM/Abstracts Proceedings, pp. 114, 2003, IEEE Computer Society, 0-7695-1926-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
13 | Georgios Tsilikas, Martin Fleury |
Semi-Structured Portable Library for Multiprocessor Servers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 22-26 April 2003, Nice, France, CD-ROM/Abstracts Proceedings, pp. 231, 2003, IEEE Computer Society, 0-7695-1926-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
multithreading, hybrid parallel programming, Shared-memory parallelism |
13 | Luke K. McDowell, Susan J. Eggers, Steven D. Gribble |
Improving server software support for simultaneous multithreaded processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: Proceedings of the ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPOPP 2003, June 11-13, 2003, San Diego, CA, USA, pp. 37-48, 2003, ACM, 1-58113-588-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
servers, simultaneous multithreading, runtime support |
13 | Philippas Tsigas, Yi Zhang 0004 |
A simple, fast and scalable non-blocking concurrent FIFO queue for shared memory multiprocessor systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: Proceedings of the Thirteenth Annual ACM Symposium on Parallel Algorithms and Architectures, SPAA 2001, Heraklion, Crete Island, Greece, July 4-6, 2001, pp. 134-143, 2001, ACM, 1-58113-409-6. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
13 | Laxmi N. Bhuyan, Ravi R. Iyer 0001, Hu-Jun Wang, Akhilesh Kumar |
Impact of CC-NUMA Memory Management Policies on the Application Performance of Multistage Switching Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 11(3), pp. 230-246, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Memory management, shared-memory multiprocessor, wormhole routing, scientific applications, execution-driven simulation, switch design |
13 | Franck Cappello, Olivier Richard, Daniel Etiemble |
Investigating the Performance of Two Programming Models for Clusters of SMP PCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Sixth International Symposium on High-Performance Computer Architecture, Toulouse, France, January 8-12, 2000, pp. 349-359, 2000, IEEE Computer Society, 0-7695-0550-3. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Hybrid and Single programming models, Performance evaluation and comparison, NAS NPB 2.3 benchmark, Breakdown of the execution times, Hardware performance counters, Cluster of SMPs |
13 | Hung-Chang Hsiao, Chung-Ta King |
Does Multicast Communication Make Sense in Write Invalidation Traffic? ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS ![In: Seventh International Conference on Parallel and Distributed Systems, ICPADS 2000, Iwate, Japan, July 4-7, 2000, pp. 221-228, 2000, IEEE Computer Society, 0-7695-0568-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
13 | Bianca Schroeder, Mor Harchol-Balter |
Evaluation of Task Assignment Policies for Supercomputing Servers: The Case for Load Unbalancing and Fairness. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPDC ![In: Proceedings of the Ninth IEEE International Symposium on High Performance Distributed Computing, HPDC'00, Pittsburgh, Pennsylvania, USA, August 1-4, 2000., pp. 211-220, 2000, IEEE Computer Society, 0-7695-0783-2. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
13 | Masaki Wakabayashi, Hideharu Amano |
Environment for Multiprocessor Simulator Development. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPAN ![In: 5th International Symposium on Parallel Architectures, Algorithms, and Networks (I-SPAN 2000), 7-10 December 2000, Dallas / Richardson, TX, USA, pp. 64-71, 2000, IEEE Computer Society, 0-7695-0936-3. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
13 | Michel J. Daydé, Iain S. Duff |
The RISC BLAS: a blocked implementation of level 3 BLAS for RISC processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Math. Softw. ![In: ACM Trans. Math. Softw. 25(3), pp. 316-340, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
matrix-matrix kernels, blocking, loop-unrolling, level 3 BLAS, RISC processors |
13 | Yuh-Rong Leu, Sy-Yen Kuo |
Distributed Fault-Tolerant Ring Embedding and Reconfiguration in Hypercubes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 48(1), pp. 81-88, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
faulty link, free-link dimension, reconfiguration, hypercube, Hamiltonian cycle |
13 | Sang-Hwa Chung, Min-Uk Park, Hyung-Soon Kim |
A Parallel Phoneme Recognition Algorithm Based on Continuous Hidden Markov Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS/SPDP ![In: 13th International Parallel Processing Symposium / 10th Symposium on Parallel and Distributed Processing (IPPS / SPDP '99), 12-16 April 1999, San Juan, Puerto Rico, Proceedings, pp. 453-457, 1999, IEEE Computer Society, 0-7695-0143-5. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
13 | Y. Charlie Hu, Honghui Lu, Alan L. Cox, Willy Zwaenepoel |
OpenMP for Networks of SMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS/SPDP ![In: 13th International Parallel Processing Symposium / 10th Symposium on Parallel and Distributed Processing (IPPS / SPDP '99), 12-16 April 1999, San Juan, Puerto Rico, Proceedings, pp. 302-310, 1999, IEEE Computer Society, 0-7695-0143-5. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
13 | Franck Cappello, Olivier Richard, Daniel Etiemble |
Performance of the NAS Benchmarks on a Cluster of SMP PCs Using a Parallelization of the MPI Programs with OpenMP. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PaCT ![In: Parallel Computing Technologies, 5th International Conference, PaCT-99, St. Petersburg, Russia, September 6-10, 1999, Proceedings, pp. 339-350, 1999, Springer, 3-540-66363-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
13 | Sergio Sáez, Joan Vila i Carbó, Alfons Crespo |
Development of a Host DBMS for Testing Switching System Software. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: 6th International Workshop on Real-Time Computing and Applications Symposium (RTCSA '99), 13-16 December 1999, Hong Kong, China, pp. 424-, 1999, IEEE Computer Society, 0-7695-0306-3. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
13 | José Flich, Pedro López 0001, Manuel P. Malumbres, José Duato |
Edinet: An Execution Driven Interconnection Network Simulator for DSM Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer Performance Evaluation (Tools) ![In: Computer Performance Evaluation: Modelling Techniques and Tools, 10th International Conference, Tools '98, Palma de Mallorca, Spain, September 14-18, 1998, Proceedings, pp. 336-339, 1998, Springer, 3-540-64949-2. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
13 | Cynthia Dwork, Maurice Herlihy, Orli Waarts |
Contention in shared memory algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. ACM ![In: J. ACM 44(6), pp. 779-805, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
mutual exclusion, contention, counting networks |
13 | Jae Bum Lee, Chu Shik Jhon |
Hardware Support for Release Consistency with Queue-based Synchronization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS ![In: 1997 International Conference on Parallel and Distributed Systems (ICPADS '97), 11-13 December 1997, Seoul, Korea, Proceedings, pp. 144-, 1997, IEEE Computer Society, 0-8186-8227-2. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
13 | Adrian Moga, Michel Dubois 0001, Alain Gefflaut |
Hardware Versus Software Implementation of COMA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: 1997 International Conference on Parallel Processing (ICPP '97), August 11-15, 1997, Bloomington, IL, USA, Proceedings, pp. 248-256, 1997, IEEE Computer Society, 0-8186-8108-X. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
software cache coherence, performance evaluation, distributed shared memory, networks of workstations, COMA |
13 | Parthasarathy Ranganathan, Vijay S. Pai, Hazim Abdel-Shafi, Sarita V. Adve |
The Interaction of Software Prefetching with ILP Processors in Shared-Memory Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 24th International Symposium on Computer Architecture, Denver, Colorado, USA, June 2-4, 1997, pp. 144-156, 1997, ACM, 0-89791-901-7. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
13 | Suresh Chalasani, Rajendra V. Boppana |
Fault-Tolerance with Multimodule Routers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Second International Symposium on High-Performance Computer Architecture, San Jose, CA, USA, February 3-7, 1996, pp. 201-210, 1996, IEEE Computer Society, 0-8186-7237-4. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
multimodule routers, fault-tolerance, communication, multicomputers, wormhole switching, deadlock-free routing |
13 | Andrew Erlichson, Neal Nuckolls, Greg Chesson, John L. Hennessy |
SoftFLASH: Analyzing the Performance of Clustered Distributed Virtual Shared Memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: ASPLOS-VII Proceedings - Seventh International Conference on Architectural Support for Programming Languages and Operating Systems, Cambridge, Massachusetts, USA, October 1-5, 1996., pp. 210-220, 1996, ACM Press, 0-89791-767-7. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
13 | Maurice Herlihy, Beng-Hong Lim, Nir Shavit |
Scalable Concurrent Counting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 13(4), pp. 343-364, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
combining trees, counting networks |
13 | Kanad Ghose, Kiran Raghavendra Desai |
Hierarchical Cubic Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 6(4), pp. 427-435, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
13 | Muhammad Jaseemuddin, Zvonko G. Vranesic |
Bidirectional Ring: An Alternative to the Hierarchy of Unidirectional Rings. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par '95 Parallel Processing, First International Euro-Par Conference, Stockholm, Sweden, August 29-31, 1995, Proceedings, pp. 567-578, 1995, Springer, 3-540-60247-X. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
13 | Lory D. Molesky, Krithi Ramamritham |
Recovery Protocols for Shared Memory Database Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: Proceedings of the 1995 ACM SIGMOD International Conference on Management of Data, San Jose, California, USA, May 22-25, 1995., pp. 11-22, 1995, ACM Press, 978-0-89791-731-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
13 | Fredrik Dahlgren |
Boosting the Performance of Hybrid Snooping Cache Protocols. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 22nd Annual International Symposium on Computer Architecture, ISCA '95, Santa Margherita Ligure, Italy, June 22-24, 1995, pp. 60-69, 1995, ACM, 0-89791-698-0. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
13 | Dean M. Tullsen, Susan J. Eggers, Henry M. Levy |
Simultaneous Multithreading: Maximizing On-Chip Parallelism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 22nd Annual International Symposium on Computer Architecture, ISCA '95, Santa Margherita Ligure, Italy, June 22-24, 1995, pp. 392-403, 1995, ACM, 0-89791-698-0. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
13 | Brian A. Malloy, Errol L. Lloyd, Mary Lou Soffa |
Scheduling DAG's for Asynchronous Multiprocessor Execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 5(5), pp. 498-508, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
asynchronous multiprocessor execution, sequential instructionstream, execution costs, datadependencies, Data General shared memory multiprocessor system, scheduling, scheduling, parallel programming, parallelism, concurrency, shared memory systems, DAG, communication costs, instruction sets, multiprocessing programs, fine grained parallelism |
13 | Robert Cooper |
Experience with Causally and Totally Ordered Communication Support, A cautionary tale. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGOPS Oper. Syst. Rev. ![In: ACM SIGOPS Oper. Syst. Rev. 28(1), pp. 28-31, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
13 | Hui Li, Kenneth C. Sevcik |
Exploiting cache affinity in software cache coherence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Supercomputing ![In: Proceedings of the 8th international conference on Supercomputing, ICS 1994, Manchester, UK, July 11-15, 1994, pp. 264-273, 1994, ACM, 0-89791-665-4. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
13 | David A. Kranz, Kirk L. Johnson, Anant Agarwal, John Kubiatowicz, Beng-Hong Lim |
Integrating Message-Passing and Shared-Memory: Early Experience. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: Proceedings of the Fourth ACM SIGPLAN Symposium on Principles & Practice of Parallel Programming (PPOPP), San Diego, California, USA, May 19-22, 1993, pp. 54-63, 1993, ACM, 0-89791-589-5. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
13 | Thomas Bemmerl, Peter Braun |
Visualization of Message Passing Parallel Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CONPAR ![In: Parallel Processing: CONPAR 92 - VAPP V, Second Joint International Conference on Vector and Parallel Processing, Lyon, France, September 1-4, 1992, Proceedings, pp. 79-90, 1992, Springer, 3-540-55895-0. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
13 | Ervan Darnell, John M. Mellor-Crummey, Ken Kennedy |
Automatic software cache coherence through vectorization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 6th international conference on Supercomputing, ICS 1992, Washington, DC, USA, July 19-24, 1992, pp. 129-138, 1992, ACM, 0-89791-485-6. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
13 | Nasser G. Azari, Soo-Young Lee |
Hybrid partitioning for particle-in-cell simulation on shared memory systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS ![In: 10th International Conference on Distributed Computing Systems (ICDCS 1991), May 20-24, 1991, Arlington, Texas, USA, pp. 526-533, 1991, IEEE Computer Society, 0-8186-2144-3. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
13 | Thomas Bemmerl, Robert Lindhof, Thomas Treml |
The Distributed Monitor System of TOPSYS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CONPAR ![In: CONPAR 90 - VAPP IV, Joint International Conference on Vector and Parallel Processing, Zurich, Switzerland, September 10-13, 1990, Proceedings, pp. 756-765, 1990, Springer, 3-540-53065-7. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
13 | Frank K. H. A. Dehne, Michel Gastaldo |
A Note on the Load Balancing Problem for Coarse Grained Hypercube Dictionary Machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CONPAR ![In: CONPAR 90 - VAPP IV, Joint International Conference on Vector and Parallel Processing, Zurich, Switzerland, September 10-13, 1990, Proceedings, pp. 417-422, 1990, Springer, 3-540-53065-7. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
13 | Sanjay Sharma |
Real-time Visualization of Concurrent Processes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CONPAR ![In: CONPAR 90 - VAPP IV, Joint International Conference on Vector and Parallel Processing, Zurich, Switzerland, September 10-13, 1990, Proceedings, pp. 852-862, 1990, Springer, 3-540-53065-7. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
13 | Rhys S. Francis, Arnold Neville Pears |
Self scheduling and execution threads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPDP ![In: Proceedings of the Second IEEE Symposium on Parallel and Distributed Processing, SPDP 1990, Dallas, Texas, USA, December 9-13, 1990., pp. 586-590, 1990, IEEE Computer Society, 0-8186-2087-0. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
13 | D. Sarma, C. P. Wu |
Pipelined OR-Parallelism Architecture for Parallel Execution of Prolog. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEA/AIE (Vol. 2) ![In: Proceedings of the Third International Conference on Industrial and Engineering Applications of Artificial Intelligence and Expert Systems, IEA/AIE 1990, July 15-18, 1990, The Mills House Hotel, Charleston, SC, USA - Volume 2, pp. 864-873, 1990, ACM, 0-89791-372-8. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
Prolog |
13 | Gurindar S. Sohi, James E. Smith 0001, James R. Goodman |
Restricted Fetch&Phi operations for parallel processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 3rd international conference on Supercomputing, ICS 1989, Heraklion, Crete, Greece, June 5-9, 1989, pp. 410-416, 1989, ACM, 0-89791-309-4. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
13 | Susan J. Eggers, Randy H. Katz |
The Effect of Sharing on the Cache and Bus Performance of Parallel Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: ASPLOS-III Proceedings - Third International Conference on Architectural Support for Programming Languages and Operating Systems, Boston, Massachusetts, USA, April 3-6, 1989., pp. 257-270, 1989, ACM Press, 0-89791-300-0. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
13 | Maurice Herlihy, Jeannette M. Wing |
Linearizable concurrent objects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA/ECOOP Workshop on Object-based Concurrent Programming ![In: Proceedings of the 1988 ACM SIGPLAN Workshop on Object-based Concurrent Programming, OOPSLA/ECOOP Workshop on Object-based Concurrent Programming 1988, San Diego, CA, USA, September 26-27, 1988, pp. 133-135, 1988, ACM, 978-0-89791-304-1. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
Displaying result #601 - #700 of 3723 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ 15][ 16][ >>] |
|