The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for switching with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1949-1957 (23) 1958-1959 (26) 1960 (21) 1961 (43) 1962 (27) 1963 (26) 1964 (37) 1965 (46) 1966 (41) 1967 (45) 1968 (59) 1969 (41) 1970 (40) 1971 (52) 1972 (53) 1973 (53) 1974 (56) 1975 (31) 1976 (48) 1977 (37) 1978 (45) 1979 (54) 1980 (33) 1981 (27) 1982 (34) 1983 (38) 1984 (52) 1985 (58) 1986 (75) 1987 (73) 1988 (89) 1989 (67) 1990 (72) 1991 (93) 1992 (82) 1993 (121) 1994 (149) 1995 (236) 1996 (179) 1997 (257) 1998 (216) 1999 (290) 2000 (398) 2001 (400) 2002 (478) 2003 (619) 2004 (773) 2005 (812) 2006 (996) 2007 (978) 2008 (1036) 2009 (774) 2010 (601) 2011 (559) 2012 (671) 2013 (750) 2014 (816) 2015 (840) 2016 (820) 2017 (848) 2018 (1011) 2019 (1444) 2020 (1015) 2021 (1145) 2022 (1403) 2023 (1158) 2024 (281)
Publication types (Num. hits)
article(10698) book(14) data(8) incollection(54) inproceedings(12814) phdthesis(143) proceedings(40)
Venues (Conferences, Journals, ...)
CoRR(781) OECC/PSC(757) IEEE Trans. Ind. Electron.(604) HPSR(552) CDC(411) IEEE Access(378) ISCAS(294) IECON(274) SWAT(271) IEEE Trans. Commun.(269) ACC(262) IEEE Trans. Autom. Control.(259) IEEE Trans. Computers(238) Autom.(232) ICC(201) J. Frankl. Inst.(201) More (+10 of total 2895)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 7891 occurrences of 3324 keywords

Results
Found 23772 publication records. Showing 23771 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Rami G. Melhem Low Diameter Interconnections for Routing in High-Performance Parallel Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fixed diameter graphs, low diameter networks, Interconnection networks, directed graphs, circuit switching, deterministic routing
17Vartika Bhandari, Nitin H. Vaidya Capacity of multi-channel wireless networks with random (c, f) assignment. Search on Bibsonomy MobiHoc The full citation details ... 2007 DBLP  DOI  BibTeX  RDF random (c, f) assignment, switching constraints, wireless networks, capacity, multiple channels
17Jaime Ruiz, Edward Lank A study on the scalability of non-preferred hand mode manipulation. Search on Bibsonomy ICMI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF concurrent mode switching, interaction technique, mode, stylus, bimanual interaction, pen interfaces
17Xiangyu Zhang 0001, Sriraman Tallam, Neelam Gupta, Rajiv Gupta 0001 Towards locating execution omission errors. Search on Bibsonomy PLDI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF execution omission, implicit dependence, potential dependence, predicate switching, relevant slicing, debugging
17Ikuko Kanashiro, Kazuki Kobayashi, Yasuhiko Kitamura Entrainment in Human-Agent Text Communication. Search on Bibsonomy PRIMA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Human-agent text communication, switching pause, utterance speed, entrainment
17Minkyu Song, Dongsheng Ma 0001 A fast-transient over-sampled delta-sigma adaptive DC-DC converter for power-efficient noise-sensitive devices. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF noise shaping, switching converter, dynamic voltage scaling, sigma-delta modulation
17Georg Peters Rough Clustering and Regression Analysis. Search on Bibsonomy RSKT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF switching regression analysis, clustering, Rough sets
17João Pedro 0001, Paulo P. Monteiro, João Pires 0001 Improving the Performance of Optical Burst-Switched Networks with Limited-Range Wavelength Conversion through Traffic Engineering in the Wavelength Domain. Search on Bibsonomy ICOIN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF traffic engineering, optical burst switching, wavelength assignment, Limited-range wavelength conversion
17João Pedro 0001, Paulo P. Monteiro, João Pires 0001 Towards Efficient Optical Burst-Switched Networks without All-Optical Wavelength Converters. Search on Bibsonomy ONDM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF wavelength contention minimization, wavelength continuity constraint, traffic engineering, optical burst switching
17Keping Long, Xiaolong Yang, Sheng Huang 0001, Qianbin Chen, Ruyan Wang An Adaptive Parameter Deflection Routing to Resolve Contentions in OBS Networks. Search on Bibsonomy Networking The full citation details ... 2006 DBLP  DOI  BibTeX  RDF QoS, Contention, Optical Burst Switching, Deflection Routing
17Justin Cochran A comprehensive model for understanding technology selection decisions of interconnected information technologies. Search on Bibsonomy CPR The full citation details ... 2006 DBLP  DOI  BibTeX  RDF technology selection decision, switching costs, network effects
17Bernhard Scholz, Bernd Burgstaller, Jingling Xue Minimizing bank selection instructions for partitioned memory architecture. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF PBQP, RAM allocation, bank-switching, partitioned memory architecture, compiler optimization, microcontrollers
17Tai-Won Um, Jun Kyun Choi, Seong Gon Choi, Won Ryu Performance Analysis of a Centralized Resource Allocation Mechanism for Time-Slotted OBS Networks. Search on Bibsonomy APNOMS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF time-slotted optical burst switching, centralized resource allocation
17Ding-Jyh Tsaur, Chi-Feng Tang, Chin-Chi Wu, Woei Lin A Threshold-Based Matching Algorithm for Photonic Clos Network Switches. Search on Bibsonomy HPCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF MAC, Packet Scheduling, Clos network, optical packet switching, Photonic switch
17Jiling Zhong, Yi Pan 0001 An Upper Bound on Blocking Probability of Vertical Stacked Optical Benes Networks. Search on Bibsonomy ISPA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF directional coupler (DC), vertical stacking, multistage interconnection networks (MINs), blocking probability, switching networks, Benes networks
17Suleyman Malki, Lambert Spaanenburg On the Packet-Switched Implementation of a Discrete-Time CNN. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, Image Processing, Packet Switching, Cellular Neural Network
17Xiaohong Jiang 0001, Hong Shen 0001, Md. Mamun-ur-Rashid Khandker, Susumu Horiguchi Blocking behaviors of crosstalk-free optical Banyan networks on vertical stacking. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF vertical stacking, multistage interconnection networks (MINs), blocking probability, switching networks, banyan networks
17José Flich, Pedro López 0001, Manuel P. Malumbres, José Duato, Tomas Rokicki Applying In-Transit Buffers to Boost the Performance of Networks with Source Routing. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Networks of workstations, wormhole switching, irregular topologies, source routing, minimal routing
17Drew Guckenberger, Kevin T. Kornegay Integrated DC-DC converter design for improved WCDMA power amplifier efficiency in SiGe BiCMOS technology. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF CCM-DCM, W-switching, power amplifier, efficiency, WCDMA, DC-DC converter
17Arindam Mukherjee 0001, Krishna Reddy Dusety, Rajsaktish Sankaranarayan A practical CAD technique for reducing power/ground noise in DSM circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF power/ground noise, low power, linear programming, timing analysis, gate sizing, simultaneous switching noise
17Aleksandra Smiljanic Flexible bandwidth allocation in high-capacity packet switches. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF switch with input buffers, scheduling, scalability, packet switching
17Selin Cerav Erbas, Rudolf Mathar An Off-Line Traffic Engineering Model for MPLS Networks. Search on Bibsonomy LCN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Offline Traffic Engineering, Multiobjective Optimization, Multi-Protocol Label Switching (MPLS)
17Binh Vien Dao, José Duato, Sudhakar Yalamanchili Dynamically Configurable Message Flow Control for Fault-Tolerant Routing. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF multiphase routing, pipelined interconnection network, message flow control, routing protocol, multicomputer, virtual channels, Fault-tolerant routing, wormhole switching
17Tamás Horváth 0002 Arithmetic Design for Permutation Groups. Search on Bibsonomy CHES The full citation details ... 1999 DBLP  DOI  BibTeX  RDF permutation multiplier, destination-tag routing, separation network, binary group, secret-key cryptosystem, PGM, switching network, sorting network, compact representation
17Paul Beame, Russell Impagliazzo, Toniann Pitassi Improved Depth Lower Bounds for Small Distance Connectivity. Search on Bibsonomy Comput. Complex. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF switching lemmas, resource tradeoffs, Circuit complexity, graph connectivity
17Vamsi Krishna, N. Ranganathan A Methodology for High Level Power Estimation and Exploration. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Low Power Designs, Power Estimation, Switching Activity, High Level Designs
17Chunming Qiao, Rami G. Melhem Reducing Communication Latency with Path Multiplexing in Optically Interconnected Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF fiber-optical interconnects, time slot interchangers, switching networks, time division multiplexing, Communication latency
17Juan-Miguel Martínez 0001, Pedro López 0001, José Duato, Timothy Mark Pinkston Software-Based Deadlock Recovery Technique for True Fully Adaptive Routing in Wormhole Networks. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF adaptive routing, virtual channels, Wormhole switching, deadlock detection, deadlock recovery
17Stuart Cheshire, Mary Baker A Wireless Network in MosquitoNet. Search on Bibsonomy IEEE Micro The full citation details ... 1996 DBLP  DOI  BibTeX  RDF mobile computing, Wireless networks, networking, interconnects, packet switching
17Hee Jin Lim, Min Su Cho, Go Bong Choi A study on call modelling for AIN/B-ISDN integration. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF call modelling, AIN/B-ISDN integration, Service Switching Point, call control, three layered call model, session control state model, resource control model, bearer control model, Video On Demand, multimedia systems, multimedia service, intelligent networks, Intelligent Network, ISDN, ITU-T, connection control
17Chan Park, Sang Man Lee, Hyup Jong Kim The Operation of IP and Address Resolution over the ATM LAN Interworking Unit. Search on Bibsonomy LCN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF hardware structure, address resolution, ATM LAN interworking unit, ATM LAN switching system, prototyping ATM LAN, IETF RFC1577, IP packets, message flows, address resolution problems, asynchronous transfer mode, routing protocols, routers, IP services, IP over ATM
17Jennifer Rexford, James W. Dolter, Wu-chang Feng, Kang G. Shin PP-MEss-SIM: a simulator for evaluating multicomputer interconnection networks. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF PP-MEss-SIM, multicomputer interconnection network evaluation, object-oriented discrete-event simulation environment, communication workloads, routing-switching algorithms, router models, low-level device specification, code decoupling, independent code development, application workloads, object-oriented programming, virtual machines, multiprocessor interconnection networks, discrete event simulation, network topology, network topologies, network design, high-level architectures, network routing
17Chin-Chi Teng, Anthony M. Hill, Sung-Mo Kang Estimation of maximum transition counts at internal nodes in CMOS VLSI circuits. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF maximum switching activity, uncertainty waveforms, circuit reliability
17Youngbok Choi, Hideki Tode, Hiromi Okada, Hiromasa Ikeda A Large Capacity Photonic ATM Switch for Wavelength Division Multiplexing Networks. Search on Bibsonomy ICCCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Electronic Control, WDM Optical Buffer, ATM Switching, WDM Optical Networks, Photonic Switch
17Menghui Zheng, Alexander Albicki Low power and high speed multiplication design through mixed number representations. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF high speed multiplication, mixed number representations, low power multiplication, reduced switching, Sign-Magnitude, Redundant Binary adder, Booth decoder, Carry-Propagation-Free, digital arithmetic, VLSI architecture, redundant number systems, Partial Products
17José Monteiro 0001, John Rinderknecht, Srinivas Devadas, Abhijit Ghosh Optimization of combinational and sequential logic circuits for low power using precomputation. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF sequential logic circuits, low power optimisation, logic optimization technique, precomputation architectures, logic synthesis methods, transmission gates, transparent latches, switching activity reduction, power dissipation reduction, VLSI, logic design, sequential circuits, combinational circuits, integrated circuit design, CMOS logic circuits, circuit optimisation, precomputation, combinational logic circuits, clock cycle
17Tsern-Huei Lee, Jin-Jye Chou Testing the Dynamic Full Access Property of a Class of Multistage Interconnection Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF dynamic full access property, multistage interconnectionnetworks, faulty banyan networ, multiprocessor system reconfiguration, test procedure, faulty switching elements, fault tolerant computing, probability, multiprocessor interconnection networks, reconfigurable architectures, computer testing, network decomposition
17Yuanyuan Yang 0001 An analysis model on nonblocking multirate broadcast networks. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1994 DBLP  DOI  BibTeX  RDF multirate traffic, interconnection networks, broadcast, packet switching, nonblocking
17Anant Agarwal Performance Tradeoffs in Multithreaded Processors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF context-switchingoverhead, multiprocessingsystems, performance evaluation, parallel algorithms, caches, multiprocessor interconnection networks, storage management, data-sharing, buffer storage, switching theory, multithreaded processors, network bandwidth, network contention, parallelprogramming, cache interference
17Carlo H. Séquin, Alvin M. Despain, David A. Patterson 0001 Communication In X-TREE, A Modular Multiprocessor System. Search on Bibsonomy ACM Annual Conference (1) The full citation details ... 1978 DBLP  DOI  BibTeX  RDF Message format, Modular computer, Single-chip processor, Communication, Multiprocessor, Computer network, Routing algorithm, Switching network, Message routing, Address space
16Zhu Zhou, Dharmin Parikh, Pradnyesh Gudadhe, Arunabha Sen A novel mechanism to dynamically switch speed and accuracy in systemC based transaction level models. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF architectural, performance modeling, functional modeling
16Aida Todri, Malgorzata Marek-Sadowska, Francois Maire, Christophe Matheron A study of decoupling capacitor effectiveness in power and ground grid networks. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Jian Wang, Ted H. Szymanski Power analysis of Input-Queued and Crosspoint-Queued crossbar switches. Search on Bibsonomy CCECE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Viet Thang Nguyen, Renato Lo Cigno, Yoram Ofek Tunable laser-based design and analysis for fractional lambda switches. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Roman Ostroumov, Kang L. Wang On Power Dissipation in Information Processing. Search on Bibsonomy ITNG The full citation details ... 2008 DBLP  DOI  BibTeX  RDF nanoscale architectures, CMOS, power dissipation
16Alexander W. Min, Kang G. Shin Exploiting Multi-Channel Diversity in Spectrum-Agile Networks. Search on Bibsonomy INFOCOM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Lode Vanacken, Joan De Boeck, Chris Raymaekers, Karin Coninx An Event-Condition-Action Approach for Contextual Interaction in Virtual Environments. Search on Bibsonomy TAMODIA/HCSE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Multimodal Interaction Techniques, Context-Awareness, Model-Based User Interface Design
16Abinash Roy, Masud H. Chowdhury Analysis of the impacts of signal rise/fall time and skew variations in coupled-RLC interconnects. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Dengyuan Xu A Novel Scheduling Algorithm Based on Buffered Crossbar Switch Fabric in EPFTS Switch. Search on Bibsonomy CSSE (3) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Ricky Yiu-kee Choi, Chi-Ying Tsui A Low Energy Two-Step Successive Approximation Algorithm for ADC Design. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Successive Approximation Register ADC, Low Power
16Kaushik Bhattacharyya, Pradip Mandal A Low Voltage, Low Ripple, on Chip, Dual Switch-Capacitor Based Hybrid DC-DC Converter. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Chandrakanth Chereddi, Pradeep Kyasanur, Nitin H. Vaidya Net-X: a multichannel multi-interface wireless mesh implementation. Search on Bibsonomy ACM SIGMOBILE Mob. Comput. Commun. Rev. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Seongmoon Wang A BIST TPG for Low Power Dissipation and High Fault Coverage. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Martin Saint-Laurent A Model for Interlevel Coupling Noise in Multilevel Interconnect Structures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Guy E. Weichenberg, Vincent W. S. Chan, Muriel Médard On the capacity of optical networks: A framework for comparing different transport architectures. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Enrico Santi, Liqubf Lu, Zhiyang Chen, Jerry L. Hudgins, H. Alan Mantooth Simulating power semiconductor devices using variable model levels. Search on Bibsonomy SCSC The full citation details ... 2007 DBLP  BibTeX  RDF model levels, power semiconductors, power electronics
16Ali Ahmed Adam, Kayhan Gulez, Nuh Erdogan Minimum Torque Ripple Algorithm with Fuzzy Logic Controller for DTC of PMSM. Search on Bibsonomy ICIC (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Interior Permanent Magnet Synchronous Motor, Torque Ripple, Fuzzy Logic, Direct Torque Control
16Erik J. Mentze, Herbert L. Hess, Kevin M. Buck, T. G. Windley A Scalable High-Voltage Output Driver for Low-Voltage CMOS Technologies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Maria C. Yuang, Steven S. W. Lee, Po L. Tien, Yu-Min Lin, Julin Shih, Frank Tsai, Alice Chen Optical coarse packet-switched IP-over-WDM network (OPSINET): technologies and experiments. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Peter E. Caines, M. Shahid Shaikh Optimality Zone Algorithms for Hybrid Systems: Efficient Algorithms for Optimal Location and Control Computation. Search on Bibsonomy HSCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Shih-Hsu Huang, Chia-Ming Chang 0002, Yow-Tyng Nieh State re-encoding for peak current minimization. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF peak current, sequential circuit synthesis, finite state machine
16Edward Lank, Jaime Ruiz, William B. Cowan Concurrent bimanual stylus interaction: a study of non-preferred hand mode manipulation. Search on Bibsonomy Graphics Interface The full citation details ... 2006 DBLP  BibTeX  RDF pen, mode, stylus, symmetric, kinematic chain, bimanual, asymmetric
16Itiro Siio, Hitomi Tsujita Mobile interaction using paperweight metaphor. Search on Bibsonomy UIST The full citation details ... 2006 DBLP  DOI  BibTeX  RDF paperweight metaphor, touch sensor, mobile devices, input devices, scroll, small display, pen interface
16Jagdish G. Chaudhari, Sandeep K. Mude, Prakash G. Gabhane High Performance Direct Torque Control of Induction Motor using Space Vector Modulation. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Jun Chen 0008, Lei He 0001 Worst case crosstalk noise for nonswitching victims in high-speed buses. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Guangming Xie, Long Wang 0001 Controllability Implies Stabilizability for Discrete-Time Switched Linear Systems. Search on Bibsonomy HSCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Assaf Avihoo, Danny Barash Temperature and Mutation Switches in the Secondary Structure of Small RNAs. Search on Bibsonomy CSB Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Raymond Y. W. Mak, Raymond S. T. Lee The Design and Implementation of an Intelligent Agent-Based Adaptive Bargaining Model (ABM). Search on Bibsonomy KES (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Bin Liu, Fabrizio Lombardi, Nohpill Park, Minsu Choi Testing Layered Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Claus Bauer Distributed Scheduling Policies for Networks of Switches with a Configuration Overhead. Search on Bibsonomy ICOIN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Volkan Kursun, Siva G. Narendra, Vivek De, Eby G. Friedman High Input Voltage Step-Down DC-DC Converters for Integration in a Low Voltage CMOS Process. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Peter E. Strazdins, John Uhlmann A comparison of local and gang scheduling on a Beowulf cluster. Search on Bibsonomy CLUSTER The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Ashok K. Murugavel, N. Ranganathan Petri net modeling of gate and interconnect delays for power estimation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Soha Hassoun, Christopher Cromer, Eduardo H. Calvillo Gámez Static timing analysis for level-clocked circuits in the presence of crosstalk. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Nadir Z. Basturkmen, Sudhakar M. Reddy, Irith Pomeranz A Low Power Pseudo-Random BIST Technique. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low power, BIST, scan, pseudo-random, peak power
16Sambuddhi Hettiaratchi, Peter Y. K. Cheung Mesh Partitioning Approach to Energy Efficient Data Layout. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Nael H. El-Farra, Panagiotis D. Christofides Hybrid Control of Parabolic PDEs: Handling Faults of Constrained Control Actuators. Search on Bibsonomy HSCC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Dinesh C. Suresh, Banit Agrawal, Jun Yang 0002, Walid A. Najjar, Laxmi N. Bhuyan Power efficient encoding techniques for off-chip data buses. Search on Bibsonomy CASES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FV, FV-MSB-LSB, data bus, low power, bus encoding
16Tomoyuki Nagase, Takashi Araki, Yoshio Yoshioka, Tadao Nakamura Moderating traffic flow over conventional ATM service. Search on Bibsonomy ISCC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Nadir Z. Basturkmen, Sudhakar M. Reddy, Irith Pomeranz A Low Power Pseudo-Random BIST Technique. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Nadir Z. Basturkmen, Sudhakar M. Reddy, Irith Pomeranz A Low Power Pseudo-Random BIST Technique. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Mark Bickford, Christoph Kreitz, Robbert van Renesse, Xiaoming Liu 0003 Proving Hybrid Protocols Correct. Search on Bibsonomy TPHOLs The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Wei-Chung Cheng, Massoud Pedram Low power techniques for address encoding and memory allocation. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Emad Rashid, Takashi Araki, Tadao Nakamura An Active Network for Improving Performance of Traffic Flow over Conventional ATM Service. Search on Bibsonomy ICN (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Zhenshan Zhang, Tse-Yun Feng Multifunctional Optical Switches for Multistage Interconnection Networks. Search on Bibsonomy FTDCS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF free-space, PLZT, broadcasting, interconnection, switch, optical, polarization, multistage
16R. Iris Bahar, Ernest T. Lampe, Enrico Macii Power optimization of technology-dependent circuits based on symbolic computation of logic implications. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF design synthesis, logic design, automation, aids
16Yuwei Luo, Roger Dougal, Enrico Santi Multi-Resolution Modeling of Power Converter Using Waveform Reconstruction. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Hierarchical models, Interactive simulation, Power electronics, Hybrid simulation
16Reetinder P. S. Sidhu, Sameer Wadhwa, Alessandro Mei, Viktor K. Prasanna A Self-Reconfigurable Gate Array Architecture. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Michael Walker 0001 On the Security of 3GPP Networks. Search on Bibsonomy EUROCRYPT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Xiaoming Liu 0003, Robbert van Renesse Fast protocol transition in a distributed environment (brief announcement). Search on Bibsonomy PODC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Kostas Masselos, Panagiotis Merakos, Thanos Stouraitis, Constantinos E. Goutis Low power synthesis of sum-of-product computation in DSP algorithms. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Jim E. Crenshaw, Majid Sarrafzadeh Low Power Driven Scheduling and Binding. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF high level synthesis, low power design, design automation, VLSI CAD
16Jim E. Crenshaw, Majid Sarrafzadeh Accurate high level datapath power estimation. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Lakshmikant Bhupathi, Liang-Fang Chao Dichotomy-based Model for FSM Power Minimization. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16Chi-Ying Tsui, José Monteiro 0001, Massoud Pedram, Srinivas Devadas, Alvin M. Despain, Bill Lin 0001 Power estimation methods for sequential logic circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Woo-Sung Choi 0002, Jae-Gyung Ahn, Young-June Park, Hong-Shick Min, Chang-Gyu Hwang A time dependent hydrodynamic device simulator SNU-2D with new discretization scheme and algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Joan García-Haro, Rocío Marín-Sillué, José Luis Melús-Moreno ATMSWSIM An Efficient, Portable and Expandable ATM SWitch SIMulator Tool. Search on Bibsonomy Computer Performance Evaluation The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16R. J. F. de Vries Gauss: A Simple High Performance Switch Architecture for ATM. Search on Bibsonomy SIGCOMM The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16Hsin-Min Lu, Daniel Zeng 0001, Hsinchun Chen Prospective Infectious Disease Outbreak Detection Using Markov Switching Models. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Markov switching models, outbreak detection, Gibbs sampling, syndromic surveillance
16Rasim M. Alguliyev, Yadigar N. Imamverdiyev, Hamid Zargari, Saeid Bairami Relationship between Mobile Switching Center Information and Social Behavior in Week Days and Holidays in a Telecommunication Area. Search on Bibsonomy ICDS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Social Network Mobility, Mobile switching Center
Displaying result #601 - #700 of 23771 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license