The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "IRPS"( http://dblp.L3S.de/Venues/IRPS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/irps

Publication years (Num. hits)
2015 (174) 2018 (172) 2019 (171) 2020 (183) 2021 (141) 2022 (174) 2023 (186)
Publication types (Num. hits)
inproceedings(1194) proceedings(7)
Venues (Conferences, Journals, ...)
IRPS(1201)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1201 publication records. Showing 1201 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Taiki Uemura, Soonyoung Lee, Dahye Min, Ihlhwa Moon, Seungbae Lee, Sangwoo Pae SEIFF: Soft Error Immune Flip-Flop for Mitigating Single Event Upset and Single Event Transient in 10 nm FinFET. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Nam-Hyun Lee, Jongkyun Kim, Donghee Son, Kangjun Kim, Jung Eun Seok Comprehensive Study for OFF-State Hot Carrier Degrdation of Scaled nMOSFETs in DRAM. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kanghyun Choi, Jongwon Lee, Jongwoo Park 0001 Nonlinear Mixed Model and Reliability Prediction for OLED Luminance Degradation. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yolène Sacchettini, Jean-Pierre Carrère, Vincent Goiffon, Pierre Magnan Plasma Antenna Charging in CMOS Image Sensors. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yefan Liu, Hao Yu, Gaspard Hiblot, Anastasiia Kruv, Marc Schaekers, Naoto Horiguchi, Dimitrios Velenis, Ingrid De Wolf Study of the Mechanical Stress Impact on Silicide Contact Resistance by 4-Point Bending. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hang Li, Kalpathy B. Sundaram, Yuanzhong (Paul) Zhou, Javier A. Salcedo, Jean-Jacques Hajjar Characterization and Modeling of the Transient Safe Operating Area in LDMOS Transistors. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ming-Hsien Lin, W. S. Chou, Y. T. Yang, A. S. Oates Characterization of Critical Peak Current and General Model of Interconnect Systems Under Short Pulse-Width Conditions. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Peter Moens, Arno Stockman A Physical-Statistical Approach to AlGaN/GaN HEMT Reliability. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Gaspard Hiblot, Yefan Liu, Geert Hellings, Geert Van der Plas Comparative Analysis of the Degradation Mechanisms in Logic and I/O FinFET Devices Induced by Plasma Damage. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Zhuoyuan Zheng, Bo Chen, Yashraj Gurumukhi, John Cook, Mehmet N. Ates, Nenad Miljkovic, Paul V. Braun, Pingfeng Wang Surrogate Model Assisted Design of Silicon Anode Considering Lithiation Induced Stresses. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Geert Hellings, Philippe Roussel, Nian Wang, Roman Boschke, Shih-Hung Chen, Marko Simicic, Mirko Scholz, Soeren Stoedel, Kris Myny, Dimitri Linten, Paul Hellings, Nowab Reza M. D. Ashif Concise Analytical Expression for Wunsch-Bell 1-D Pulsed Heating and Applications in ESD Using TLP. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kaustubh Joshi, Yung-Huei Lee, Yu-Cheng Yao, Shu-Wen Chang, Siao-Syong Bian, P. J. Liao, Jiaw-Ren Shih, Min-Jan Chen A Statistical Learning Model for Accurate Prediction of Time-Dependent Dielectric Degradation for Low Failure Rates. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Rui Cao, Jixuan Wu, Wenjing Yang, Jiezhi Chen, Xiangwei Jiang Program/Erase Cycling Enhanced Lateral Charge Diffusion in Triple-Level Cell Charge-Trapping 3D NAND Flash Memory. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1M. L. Breeding, Robert A. Reed, K. M. Warren, Michael L. Alles Exploration of the Impact of Physical Integration Schemes on Soft Errors in 3D ICs Using Monte Carlo Simulation. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yuh-Yue Chen, Tsyr-Shyang Liou, Shyh-Chyi Wong Novel RC-Clamp Design for High Supply Voltage. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1 IEEE International Reliability Physics Symposium, IRPS 2019, Monterey, CA, USA, March 31 - April 4, 2019 Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  BibTeX  RDF
1Sarath Mohanachandran Nair, Rajendra Bishnoi, Mehdi Baradaran Tahoori, Houman Zahedmanesh, Kristof Croes, Kevin Garello, Gouri Sankar Kar, Francky Catthoor Variation-Aware Physics-Based Electromigration Modeling and Experimental Calibration for VLSI Interconnects. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Y. Ji, H. J. Goo, J. Lim, S. B. Lee, S. Lee, Taiki Uemura, J. C. Park, S. I. Han, S. C. Shin, J. H. Lee, Y. J. Song, K. M. Lee, H. M. Shin, S. H. Hwang, B. Y. Seo, Y. K. Lee, J. C. Kim, Gwanhyeob Koh, K. C. Park, Sangwoo Pae, Gi-Tae Jeong, J. S. Yoon, E. S. Jung Reliability of 8Mbit Embedded-STT-MRAM in 28nm FDSOI Technology. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Edoardo Ceccarelli, Kevin Manning, Seamus Maxwell, Colm Heffernan GIDL Increase Due to HCI Stress: Correlation Study of MOSFET Degradation Parameters and Modelling for Reliability Simulation. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jyotika Athavale, Riccardo Mariani, Michael Paulitsch Flight Safety Certification Implications for Complex Multi-Core Processor Based Avionics Systems. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Bonnie E. Weir, Vani Prasad, Shahriar Moinian, SangJune Park, Joseph Blasko, Jason Brown, Jayanthi Pallinti Utilizing a Thorough Understanding of Critical Aging and Failure Mechanisms in finFET Technologies to Enable Reliable High Performance Circuits. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hyewon Shim, Jeongmin Jo, Yoohwan Kim, Bongyong Jeong, Minji Shon, Hai Jiang 0005, Sangwoo Pae Aging-Aware Design Verification Methods Under Real Product Operating Conditions. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yueyang Liu, Xiangwei Jiang, Liwei Wang 0003, Yunfei En, Runsheng Wang Distinguishing Interfacial Hole Traps in (110), (100) High-K Gate Stack. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Nagothu Karmel Kranthi, Boeila Sampath Kumar, Akram A. Salman, Gianluca Boselli, Mayank Shrivastava Physical Insights into the Low Current ESD Failure of LDMOS-SCR and its Implication on Power Scalability. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1S. E. Liu, M. H. Hsieh, Y. R. Chen, J. Y. Jao, M. Z. Lin, Y. H. Fang, M. J. Lin High Voltage Tolerant Design with Advanced Process for TV Application. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sandeep R. Bahl, Paul Brohlin A New Approach to Validate GaN FET Reliability to Power-Line Surges Under Use-Conditions. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ayayi C. Ahyi, Sarit Dhar, Zeynep Dilli, Akin Akturk, Neil Goldsman, A. Ghanbari Reliability Testing of SiC MOS Devices at 500°C. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Besar Asllani, Alberto Castellazzi, Oriol Avino-Salvado, Asad Fayyaz, Hervé Morel, Dominique Planson VTH-Hysteresis and Interface States Characterisation in SiC Power MOSFETs with Planar and Trench Gate. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Matt Ring, Johan De Greve, Bill Cowell, Darren Moore, Jeff Gambino BEOL Process Development Using Fast Power Cycling on Test Structures. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Shifan Gao, Bing Chen, Nuo Xu, Yiming Qu, Yi Zhao Probing Write Error Rate and Random Telegraph Noise of MgO Based Magnetic Tunnel Juction Using a High Throughput Characterization System. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kenichiro Tanaka, Masahiro Hikita, Tetsuzo Ueda Influence of Donor-Type Hole Traps Under P-GaN Gate in GaN-Based Gate Injection Transistor (GIT). Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ajit Kanale, Kijeong Han, B. Jayant Baliga, Subhashish Bhattacharya Stability of 4H-SiC JBS Diodes Under Repetitive Avalanche Stress. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Wen Yang, Jiann-Shiun Yuan, Balakrishnan Krishnan, Patrick Shea Low-Side GaN Power Device Dynamic Ron Characteristics Under Different Substrate Biases. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jie Jack Zeng, Ruchil Jain, Kyong Jin Hwang, Robert Gauthier 0002 A Novel HV-NPN ESD Protection Device with Buried Floating P-Type Implant. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Shouhei Fukuyama, Atsuna Hayakawa, Ryutaro Yasuhara, Shinpei Matsuda, Hiroshi Kinoshita, Ken Takeuchi Comprehensive Analysis of Data-Retention and Endurance Trade-Off of 40nm TaOx-based ReRAM. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Chun-Cheng Chen, Ming-Dou Ker Investigation on Latch-Up Path Between I/O PMOS and Core PMOS in a 0.18-μm CMOS Process. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Daniel B. Habersat, Ronald Green, Aivars J. Lelis Permanent and Transient Effects of High-Temperature Bias Stress on Room- Temperature $V_{T}$ Drift Measurements in SiC Power MOSFETs. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Pavel Bolshakov, Rodolfo A. Rodriguez-Davila, Manuel Quevedo-Lopez, Chadwin D. Young Positive Bias Instability in ZnO TFTs with Al2O3 Gate Dielectric. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Chen Wu, Adrian Vaisman Chasin, Andrea Padovani, Alicja Lesniewska, Steven Demuynck, Kris Croes Role of Defects in the Reliability of HfO2/Si-Based Spacer Dielectric Stacks for Local Interconnects. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jae-Gyung Ahn, I-Ru Chen, Ping-Chin Yeh, Jonathan Chang Design-For-Reliability Flow in 7nm Products with Data Center and Automotive Applications. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jay Sarkar, Cory Peterson Operational Workload Impact on Robust Solid-State Storage Analyzed with Interpretable Machine Learning. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1James P. Ashton, Patrick M. Lenahan, Daniel J. Lichtenwalner, Aivars J. Lelis, Mark A. Anders 0002 Reliability and Performance Issues in SiC MOSFETs: Insight Provided by Spin Dependent Recombination. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jingchen Cao, Lyuan Xu, Bharat L. Bhuva, Shi-Jie Wen, Richard Wong, Balaji Narasimham, Lloyd W. Massengill Alpha Particle Soft-Error Rates for D-FF Designs in 16-Nm and 7-Nm Bulk FinFET Technologies. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Young-Joon Park, Jungwoo Joh, Jayhoon Chung, Srikanth Krishnan Current Crowding Impact on Electromigration in Al Interconnects. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Albert G. Baca, B. A. Klein, A. M. Armstrong, A. A. Allerman, E. A. Douglas, T. R. Fortune, R. J. Kaplar Stability in Fluorine-Treated Al-Rich High Electron Mobility Transistors with 85% Al-Barrier Composition. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Benyuan Zhu, E. M. Bazizi, J. H. M. Tng, Z. Li, E. K. Banghart, M. K. Hassan, Y. Hu, D. Zhou, D. Choi, L. Qin, Xuan Wan TCAD Simulation on FinFET n-type Power Device HCI Reliability Improvement. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jun Furuta, Yuto Tsukita, Kodai Yamada, Mitsunori Ebara, Kentaro Kojima, Kazutoshi Kobayashi Impact of Combinational Logic Delay for Single Event Upset on Flip Flops in a 65 nm FDSOI Process. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Eric E. Fabris, Matteo Meneghini, Carlo De Santi, Matteo Borga, Gaudenzio Meneghesso, Enrico Zanoni, Y. Kinoshita, Kenichiro Tanaka, H. Ishida, Tetsuzo Ueda Hot-Electron Effects in GaN GITs and HD-GITs: A Comprehensive Analysis. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Wang Liao, Masanori Hashimoto, Seiya Manabe, Yukinobu Watanabe, Shin-ichiro Abe, Keita Nakano, Hayato Takeshita, Motonobu Tampo, Soshi Takeshita, Yasuhiro Miyake Negative and Positive Muon-Induced SEU Cross Sections in 28-nm and 65-nm Planar Bulk CMOS SRAMs. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Claire McKay Bowen, Nathan DeBardeleben, Sean Blanchard, Christine M. Anderson-Cook Do Solar Proton Events Reduce the Number of Faults in Supercomputers?: A Comparative Analysis of Faults During and without Solar Proton Events. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Cheikh Diouf, N. Guitard, M. Rafik, J. J. Martinez, X. Federspiel, Alain Bravaix, D. Muller, David Roy 0001 Process Optimization for HCI Improvement in I/O Analog Devices. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Eleonora Canato, Fabrizio Masin, Matteo Borga, Enrico Zanoni, Matteo Meneghini, Gaudenzio Meneghesso, Arno Stockman, Abhishek Banerjee 0003, Peter Moens µs-Range Evaluation of Threshold Voltage Instabilities of GaN-on-Si HEMTs with p-GaN Gate. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sayak Dutta Gupta, Vipin Joshi, Bhawani Shankar, Swati Shikha, Srinivasan Raghavan 0002, Mayank Shrivastava UV-Assisted Probing of Deep-Level Interface Traps in GaN MISHEMTs and Their Role in Threshold Voltage & Gate Leakage Instabilities. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Alicja Lesniewska, S. A. Srinivasan, Joris Van Campenhout, Barry J. O'Sullivan, Kris Croes Accelerated Device Degradation of High-Speed Ge Waveguide Photodetectors. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sriram Balasubramanian, Hari Balan, Lei Liu, Kevin Khua, Wah-Peng Neo, Dianji Sui, Tze Ho Simon Chan Enhanced Fail Rate Projections Using Negative Design Assist in Automotive Grade SRAMs. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yuji Yamagishi, Yasuo Cho High Resolution Observation of Subsurface Defects at SiO2/4H-SiC Interfaces by Local Deep Level Transient Spectroscopy Based on Time-Resolved Scanning Nonlinear Dielectric Microscopy. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kyoji Mizoguchi, Kyosuke Maeda, Ken Takeuchi Automatic Data Repair Overwrite Pulse for 3D-TLC NAND Flash Memories with 38x Data-Retention Lifetime Extension. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Siddarth Sundaresan, Vamsi Mulpuri, Stoyan Jeliazkov, Ranbir Singh Avalanche and Short-Circuit Robustness of 4600 V SiC DMOSFETs. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Niloofar Shakoorzadeh, Amir Hanna, Subramanian S. Iyer Bilayer Passivation Film for Cu Interconnects on Si Interconnect Fabric. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Nakul Pande, Gyusung Park, Chris H. Kim, Srikanth Krishnan, Vijay Reddy Investigating the Aging Dynamics of Diode-Connected MOS Devices Using an Array-Based Characterization Vehicle in a 65nm Process. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Diganta Das, Edmond Elburn, Michael G. Pecht, Bhanu Sood Evaluating Impact of Information Uncertainties on Component Reliability Assessment. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Tian Shen, Abu Naser Zainuddin, Purushothaman Srinivasan, Zakariae Chbili, Kai Zhao, Patrick Justison Novel Oxide Top-Off Process Enabling Reliable PC-CA TDDB on IO Devices with Self Aligned Contact. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Lili Cheng, Seungman Choi, Sean P. Ogden, Teck Jung Tang, Robert Fox Robust BEOL MIMCAP for Long and Controllable TDDB Lifetime. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1M. Iqbal Mahmud, Amit Gupta, Maria Toledano-Luque, N. Rao Mavilla, J. Johnson, P. Srinivasan 0002, A. Zainuddin, S. Rao, Salvatore Cimino, Byoung Min, Tanya Nigam Hot Carrier Reliability Improvement of Thicker Gate Oxide nFET Devices in Advanced FinFETs. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1S. A. Wender, J. M. O'Donnell, Lukas Zavorka, Bharat L. Bhuva Neutron Beam Attenuation Through Semiconductor Devices During SEU Testing. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Venkata Chaitanya Krishna Chekuri, Arvind Singh, Nihar Dasari, Saibal Mukhopadhyay On the Effect of NBTI Induced Aging of Power Stage on the Transient Performance of On-Chip Voltage Regulators. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Mehedi Hasan, Biswajit Ray Tolerance of Deep Neural Network Against the Bit Error Rate of NAND Flash Memory. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1A. S. Teng, C. W. Lin, M. N. Chang, Aaron Wang, Ryan Lu A Novel Constant E-Field Methodology for Intrinsic TDDB Lifetime Projection. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jongwon Lee, Sangkil Kim, Yoonsuk Choi, Jongwoo Park 0001 Process Variation of Pixel Definition and Effects of Flexible OLED Luminance Degradation. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Florian Cacho, X. Federspiel, D. Nouguier, Cheikh Diouf Investigation of NBTI Dynamic Behavior with Ultra-Fast Measurement. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Steve Stoffels, Niels Posthuma, Stefaan Decoutere, Benoit Bakeroot, Andrea Natale Tallarico, Enrico Sangiorgi, Claudio Fiegna, J. Zheng, X. Ma, Matteo Borga, Elena Fabris, Matteo Meneghini, Enrico Zanoni, Gaudenzio Meneghesso, Juraj Priesol, Alexander Satka Perimeter Driven Transport in the p-GaN Gate as a Limiting Factor for Gate Reliability. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Michiel Vandemaele, Ben Kaczer, Stanislav Tyaginov, Zlatan Stanojevic, Alexander Makarov, Adrian Vaisman Chasin, Erik Bury, Hans Mertens, Dimitri Linten, Guido Groeseneken Full (Vg, Vd) Bias Space Modeling of Hot-Carrier Degradation in Nanowire FETs. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Maria Ruzzarin, Matteo Borga, Enrico Zanoni, Matteo Meneghini, Gaudenzio Meneghesso, Dong Ji, Wenwen Li, Silvia H. Chan, Anchal Agarwal, Chirag Gupta, Stacia Keller, Umesh K. Mishra, Srabanti Chowdhury Gate Stability and Robustness of In-Situ Oxide GaN Interlayer Based Vertical Trench MOSFETs (OG-FETs). Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kin Leong Pey, Alok Ranjan 0001, Nagarajan Raghavan, Kalya Shubhakar, Sean J. O'Shea Dielectric Breakdown in 2D Layered Hexagonal Boron Nitride - The Knowns and the Unknowns. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Dmitry Veksler, Gennadi Bersuker, Adam W. Bushmaker, P. R. Shrestha, Kin P. Cheung, Jason P. Campbell Switching Variability Factors in Compliance-Free Metal Oxide RRAM. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Sandeep Mallampati, Zaeem Baig, Scott Pozder, Eng Chye Chua A Comparison of Environmental Stressing Data and Simulation at the Corner of a Test Chip in a FC-BGA Package. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Erik Bury, Adrian Vaisman Chasin, Michiel Vandemaele, Simon Van Beek, Jacopo Franco, Ben Kaczer, Dimitri Linten Array-Based Statistical Characterization of CMOS Degradation Modes and Modeling of the Time-Dependent Variability Induced by Different Stress Patterns in the {VG, VD} bias space. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Tonmoy Dhar, Sachin S. Sapatnekar Reliability Analysis of a Delay-Locked Loop Under HCI and BTI Degradation. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Evelyn Landman, Shai Cohen, Noam Brousard, Raanan Gewirtzman, Inbar Weintrob, Eyal Fayne, Yahel David, Yuval Bonen, Omer Niv, Shai Tzroia, Alex Burlak, J. W. McPherson Degradation Monitoring - from a Vision to Reality. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Ernest Y. Wu, Baozhen Li, James H. Stathis, Andrew Kim Comprehensive Methodology for Multiple Spots Competing Progressive Breakdown for BEOL/FEOL Applications. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Christian Schlünder, Katja Waschneck, Peter Rotter, Susanne Lachenmann, Hans Reisinger, Franz Ungar, Georg Georgakos From Device Aging Physics to Automated Circuit Reliability Sign Off. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kaichen Zhu, Xianhu Liang, Bin Yuan, Marco A. Villena, Chao Wen, Tao Wang, Shaochuan Chen, Mario Lanza, Fei Hui, Yuanyuan Shi Tristate Resistive Switching in Heterogenous Van Der Waals Dielectric Structures. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Fernando Leonel Aguirre, Andrea Padovani, Alok Ranjan 0001, Nagarajan Raghavan, Nahuel Vega, Nahuel Muller, Sebastián Matías Pazos, Mario Debray, Joel Molina Reyes, Kin Leong Pey, Felix Palumbo Spatio-Temporal Defect Generation Process in Irradiated HfO2 MOS Stacks: Correlated Versus Uncorrelated Mechanisms. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Alexander Hirler, Adnan Alsioufy, Josef Biba, T. Lehndorff, D. Lipp, Helmut Lochner, Mahesh Siddabathula, S. Simon, Torsten Sulima, Maciej Wiatr, Walter Hansch Alternating Temperature Stress and Deduction of Effective Stress Levels from Mission Profiles for Semiconductor Reliability. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Shih-Hung Chen, Dimitri Linten, Geert Hellings, Marko Simicic, Ben Kaczer, Thomas Chiarella, Hans Mertens, Jérôme Mitard, Anda Mocuta, N. Horiguchi CDM-Time Domain Turn-on Transient of ESD Diodes in Bulk FinFET and GAA NW Technologies. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Huaqiang Wu, Meiran Zhao, Yuyi Liu, Peng Yao, Yue Xi, Xinyi Li, Wei Wu, Qingtian Zhang, Jianshi Tang, Bin Gao 0006, He Qian Reliability Perspective on Neuromorphic Computing Based on Analog RRAM. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Niaz Mahmud, Nabihah Azhari, J. R. Lloyd Comparative Study of TDDB Models on BEOL Interconnects for Sub-20 nm Spacings. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Xingqi Zou, Liang Yan, Lei Jin, Da Li, Feng Xu, Di Ai, An Zhang 0008, Hongtao Liu, Ming Wang, Wei Li, Yali Song, Huazheng Wei, Yi Chen, Chunlong Li, Zongliang Huo Cycling Induced Trap Generation and Recovery Near the Top Select Gate Transistor in 3D NAND. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Nagothu Karmel Kranthi, Akram A. Salman, Gianluca Boselli, Mayank Shrivastava Current Filament Dynamics Under ESD Stress in High Voltage (Bidirectional) SCRs and It's Implications on Power Law Behavior. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1H. W. Wan, Y. J. Hong, Y. T. Cheng, M. Hong BTI Characterization of MBE Si-Capped Ge Gate Stack and Defect Reduction via Forming Gas Annealing. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Woojin Ahn, Yen-Pu Chen, Muhammad Ashraful Alam An Analytical Transient Joule Heating Model for an Interconnect in a Modern IC: Material Selection (Cu, Co, Ru) and Cooling Strategies. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Christopher H. Bennett, Diana Garland, Robin B. Jacobs-Gedrim, Sapan Agarwal, Matthew J. Marinella Wafer-Scale TaOx Device Variability and Implications for Neuromorphic Computing Applications. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jian-Hsing Lee, Natarajan Mahadeva Iyer, Timothy J. Maloney Physical Model for ESD Human Body Model to Transmission Line Pulse. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Zhilu Ye, Rui Liu 0005, Hugh J. Barnaby, Shimeng Yu Evaluation of Single Event Effects in SRAM and RRAM Based Neuromorphic Computing System for Inference. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Daniel M. Fleetwood Reliability Limiting Defects in MOS Gate Oxides: Mechanisms and Modeling Implications. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Luca Pirro, Alban Zaka, Olaf Zimmerhackl, T. Hermann, Michael Otto, E. M. Bazizi, Jan Hoentschel, X. Li, R. Taylor Low-Frequency Noise Reduction in 22FDX®: Impact of Device Geometry and Back Bias. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Shinji Yokogawa, Kyosuke Kunii A Simple Prediction Method for Chip-Level Electromigration Lifetime Using Generalized Gamma Distribution. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jonas Doevenspeck, Robin Degraeve, Andrea Fantini, Peter Debacker, Diederik Verkest, Rudy Lauwereins, Wim Dehaene Low Voltage Transient RESET Kinetic Modeling of OxRRAM for Neuromorphic Applications. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1H. Huang, P. S. McLaughin, James J. Kelly, C.-C. Yang, Richard G. Southwick, M. Wang, Griselda Bonilla, Gauri Karve Time Dependent Dielectric Breakdown of Cobalt and Ruthenium Interconnects at 36nm Pitch. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hideya Matsuyama, Takashi Suzuki, Motoki Shiozu, Hideo Ehara, Takeshi Soeda, Hirokazu Hosoi, Masao Oshima, Kikuo Yamabe Verification of Copper Stress Migration Under Low Temperature Long Time Stress. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 1201 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license