|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 20075 occurrences of 5412 keywords
|
|
|
Results
Found 25938 publication records. Showing 25938 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
18 | Robert Simon Sherratt, Kai Zhang, Owen J. Wilkes |
Improving CS-4 User Data Rate in GPRS Enabled Devices by Using a BLER Co-processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Wirel. Inf. Networks ![In: Int. J. Wirel. Inf. Networks 13(3), pp. 239-251, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Application data rate, IP transport, FPGA, GPRS, co-processor |
18 | Li Zhao 0002, Yan Luo, Laxmi N. Bhuyan, Ravi R. Iyer 0001 |
A Network Processor-Based, Content-Aware Switch. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 26(3), pp. 72-84, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
content-aware switch, ENP2611, Intel IXP 2400, network processor |
18 | Sem C. Borst, Rudesindo Núñez-Queija, Bert Zwart |
Sojourn time asymptotics in processor-sharing queues. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Queueing Syst. Theory Appl. ![In: Queueing Syst. Theory Appl. 53(1-2), pp. 31-51, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Bandwidth-sharing networks, Reduced service rate approximation, Light-tailed distributions, Large deviations, Processor sharing, Heavy-tailed distributions, Tail asymptotics |
18 | Krzysztof Debicki, Miranda van Uitert |
Large buffer asymptotics for generalized processor sharing queues with Gaussian inputs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Queueing Syst. Theory Appl. ![In: Queueing Syst. Theory Appl. 54(2), pp. 111-120, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Large-buffer asymptotics, Gaussian traffic, Communication networks, Differentiated services, Generalized processor sharing |
18 | Lisa Higham, Jalal Kawash |
Tight Bounds for Critical Sections in Processor Consistent Platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 17(10), pp. 1072-1083, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
processor consistency, multiwriter/single-writer variables, mutual exclusion, Memory consistency models |
18 | Alexey L. Lastovetsky, Ravi Reddy, Robert Higgins |
Building the functional performance model of a processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2006 ACM Symposium on Applied Computing (SAC), Dijon, France, April 23-27, 2006, pp. 746-753, 2006, ACM, 1-59593-108-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
grid computing, parallel computing, distributed computing, performance modeling, memory hierarchy, heterogeneous computing, processor performance |
18 | Nan Wu 0003, Mei Wen, Ju Ren 0002, Yi He 0008, Chunyuan Zhang |
Register Allocation on Stream Processor with Local Register File. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asia-Pacific Computer Systems Architecture Conference ![In: Advances in Computer Systems Architecture, 11th Asia-Pacific Conference, ACSAC 2006, Shanghai, China, September 6-8, 2006, Proceedings, pp. 545-551, 2006, Springer, 3-540-40056-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
local register file, spilling, register allocation, VLIW, stream processor |
18 | Takao Toi, Noritsugu Nakamura, Yoshinosuke Kato, Toru Awashima, Kazutoshi Wakabayashi, Li Jing |
High-level synthesis challenges and solutions for a dynamically reconfigurable processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2006 International Conference on Computer-Aided Design, ICCAD 2006, San Jose, CA, USA, November 5-9, 2006, pp. 702-708, 2006, ACM, 1-59593-389-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
high-level synthesis, dynamic reconfiguration, reconfigurable processor |
18 | Cheng Xu 0001, Fei Yu 0001, Zhenghui Dai, Guangxue Yue, Renfa Li |
Data Distribution Algorithm of High-Speed Intrusion Detection system Based on Network Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SKG ![In: 2006 International Conference on Semantics, Knowledge and Grid (SKG 2006), 1-3 November 2006, Guilin, China, pp. 27, 2006, IEEE Computer Society, 0-7695-2673-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Data Distribution Algorithm, Intrusion Detection, Network Processor, Protocol analysis |
18 | Samuel Williams 0001, John Shalf, Leonid Oliker, Shoaib Kamil 0001, Parry Husbands, Katherine A. Yelick |
The potential of the cell processor for scientific computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the Third Conference on Computing Frontiers, 2006, Ischia, Italy, May 3-5, 2006, pp. 9-20, 2006, ACM, 1-59593-302-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
GEMM, SpMV, three level memory, FFT, sparse matrix, cell processor, stencil |
18 | Xianghui Hu, Xinan Tang, Bei Hua |
High-performance IPv6 forwarding algorithm for multi-core and multithreaded network processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: Proceedings of the ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPOPP 2006, New York, New York, USA, March 29-31, 2006, pp. 168-177, 2006, ACM, 1-59593-189-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
IPv6 forwarding, parallel programming, pipelining, multithreading, network processor, table lookup, thread-level parallelism |
18 | Gian Luca Loi, Banit Agrawal, Navin Srivastava, Sheng-Chih Lin, Timothy Sherwood, Kaustav Banerjee |
A thermally-aware performance analysis of vertically integrated (3-D) processor-memory hierarchy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 991-996, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
processor-memory, VLSI, performance modeling, three dimensional, 3D ICs, vertical integration, thermal analysis |
18 | Hiroaki Inoue, Akihisa Ikeno, Masaki Kondo, Junji Sakai, Masato Edahiro |
VIRTUS: a new processor virtualization architecture for security-oriented next-generation mobile terminals. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 484-489, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
processor virtualization, multiprocessor |
18 | Kanna Shimizu, Sanjay Gupta, Tatsuya Koyama, Takashi Omizo, Jamee Abdulhafiz, Larry McConville, Todd Swanson |
Verification of the cell broadband engineTM processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 338-343, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
directed random verification, processor reference model, trace-based verification, hierarchical verification |
18 | Daniel L. Stasiak, Rajat Chaudhry, Dennis Cox, Stephen D. Posluszny, James D. Warnock, Steve Weitzel, Dieter F. Wendel, Michael Wang 0001 |
Cell Processor Low-Power Design Methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 25(6), pp. 71-78, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
VLSI, design methodology, Cell processor, low power consumption |
18 | Masahiro Sowa, Ben A. Abderazek, Tsutomu Yoshinaga |
Parallel Queue Processor Architecture Based on Produced Order Computation Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 32(3), pp. 217-229, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
produced order, queue processor, circular queue-registers, design, high performance |
18 | Bruno Sericola, Fabrice Guillemin, Jacqueline Boyer |
Sojourn Times in the M/PH/1 Processor Sharing Queue. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Queueing Syst. Theory Appl. ![In: Queueing Syst. Theory Appl. 50(1), pp. 109-130, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
processor sharing discipline, asymptotic estimates, sojourn time, phase type distribution |
18 | Rajiv A. Ravindran, Robert M. Senger, Eric D. Marsman, Ganesh S. Dasika, Matthew R. Guthaus, Scott A. Mahlke, Richard B. Brown |
Partitioning Variables across Register Windows to Reduce Spill Code in a Low-Power Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 54(8), pp. 998-1012, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
optimization, Code generation, low-power design, graph partitioning, embedded processor, retargetable compilers, spill code, instruction encoding, register window |
18 | Chu Chao, Qin Zhang, Yingke Xie, Chengde Han |
Design of a high performance FFT processor based on FPGA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2005 Conference on Asia South Pacific Design Automation, ASP-DAC 2005, Shanghai, China, January 18-21, 2005, pp. 920-923, 2005, ACM Press, 0-7803-8737-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
FFT processor, overflow control, FPGA, address generation |
18 | Suman Mamidi, Emily R. Blem, Michael J. Schulte, C. John Glossner, Daniel Iancu, Andrei Iancu, Mayan Moudgill, Sanjay Jinturkar |
Instruction set extensions for software defined radio on a multithreaded processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2005 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2005, San Francisco, California, USA, September 24-27, 2005, pp. 266-273, 2005, ACM, 1-59593-149-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
convolutional encoding, multithreading, forward error correction, software defined radio, Reed-Solomon coding, instruction set extensions, digital signal processor, Viterbi decoding, turbo decoding |
18 | Marco Lanuzza, Martin Margala, Pasquale Corsonello |
Cost-effective low-power processor-in-memory-based reconfigurable datapath for multimedia applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005, San Diego, California, USA, August 8-10, 2005, pp. 161-166, 2005, ACM, 1-59593-137-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
reconfigurable computing, datapath, processor-in-memory |
18 | Kevin K. Leung, Du Zhang |
Animation of Linux Processor Scheduling Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISM ![In: Seventh IEEE International Symposium on Multimedia (ISM 2005), 12-14 December 2005, Irvine, CA, USA, pp. 353-360, 2005, IEEE Computer Society, 0-7695-2489-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Linux processor scheduling, animation of scheduling algorithm, /proc pseudo file system |
18 | Oliver Sinnen, Leonel Sousa |
Task Scheduling: Considering the Processor Involvement in Communication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPDC/HeteroPar ![In: 3rd International Symposium on Parallel and Distributed Computing (ISPDC 2004), 3rd International Workshop on Algorithms, Models and Tools for Parallel Computing on Heterogenous Networks (HeteroPar 2004), 5-7 July 2004, Cork, Ireland, pp. 328-335, 2004, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
processor involvement, Parallel programming, task scheduling, contention, system models |
18 | A. S. Nepomniaschaya, Zbigniew Kokosinski |
Associative Graph Processor and Its Properties. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PARELEC ![In: 2004 International Conference on Parallel Computing in Electrical Engineering (PARELEC 2004), 7-10 September 2004, Dresden, Germany, pp. 297-302, 2004, IEEE Computer Society, 0-7695-2080-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
associative parallel processor, bit-parallel processing, associative graph processing, multiple-search |
18 | Daniel J. Deleganes, Micah Barany, George L. Geannopoulos, Kurt Kreitzer, Anant P. Singh, Sapumal B. Wijeratne |
Low voltage swing logic circuits for a Pentium 4 processor integer core. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004, pp. 678-680, 2004, ACM, 1-58113-828-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
LVS, Pentium® 4 processor, integer core, low voltage swing, sense-amp, microprocessor, rotator, adder |
18 | Michael L. Behm, John M. Ludden, Yossi Lichtenstein, Michal Rimon, Michael Vinov |
Industrial experience with test generation languages for processor verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004, pp. 36-40, 2004, ACM, 1-58113-828-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
test generation, functional verification, processor verification |
18 | Ravi Hosabettu, Ganesh Gopalakrishnan, Mandayam K. Srivas |
Formal Verification of a Complex Pipelined Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Formal Methods Syst. Des. ![In: Formal Methods Syst. Des. 23(2), pp. 171-213, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
completion functions, formal verification, PVS, processor verification |
18 | Sem C. Borst, Michel Mandjes, Miranda van Uitert |
Generalized processor sharing with light-tailed and heavy-tailed input. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE/ACM Trans. Netw. ![In: IEEE/ACM Trans. Netw. 11(5), pp. 821-834, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Markov fluid, heavy-tailed traffic, light-tailed traffic, weighted fair queueing, workload asymptotics, large deviations, Generalized processor sharing (GPS), regular variation |
18 | Ville Lappalainen, Antti Hallapuro, Timo D. Hämäläinen |
Performance of H.26L Video Encoder on General-Purpose Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 34(3), pp. 239-249, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
H.263+, H.26L, video encoder, H.263, general-purpose processor |
18 | Robert Bai, Sarvesh H. Kulkarni, Wesley Kwong, Ashish Srivastava, Dennis Sylvester, David T. Blaauw |
An Implementation of a 32-bit ARM Processor Using Dual Power Supplies and Dual Threshold Voltages. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2003 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2003), New Trends and Technologies for VLSI Systems Design, 20-21 February 2003, Tampa, FL, USA, pp. 149-154, 2003, IEEE Computer Society, 0-7695-1904-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
ARM processor, Low power design, CVS, Dual-Vt |
18 | Sylvain Girbal, Gilles Mouchard, Albert Cohen 0001, Olivier Temam |
DiST: a simple, reliable and scalable method to significantly reduce processor architecture simulation time. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the International Conference on Measurements and Modeling of Computer Systems, SIGMETRICS 2003, June 9-14, 2003, San Diego, CA, USA, pp. 1-12, 2003, ACM, 1-58113-664-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
distributed simulation, processor architecture |
18 | Wei Ming Lim, Mohammed Benaissa |
Design space exploration of a hardware-software co-designed GF(2m) galois field processor for forward error correction and cryptography. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 1st IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2003, Newport Beach, CA, USA, October 1-3, 2003, pp. 53-58, 2003, ACM, 1-58113-742-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
GF(2m) arithmetic, forward error control coding, galois field processor, cryptography, advanced encryption standard, elliptic curve cryptography, design space exploration, Reed-Solomon code, hardware-software co-design, BCH code |
18 | Rajiv A. Ravindran, Robert M. Senger, Eric D. Marsman, Ganesh S. Dasika, Matthew R. Guthaus, Scott A. Mahlke, Richard B. Brown |
Increasing the number of effective registers in a low-power processor using a windowed register file. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, CASES 2003, San Jose, California, USA, October 30 - November 1, 2003, pp. 125-136, 2003, ACM, 1-58113-676-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
window assignment, low-power, graph partitioning, embedded processor, instruction encoding, register window |
18 | Vadali Srinivasa Murty, P. C. Reghu Raj, S. Raman 0001 |
Design of a high speed string matching co-processor for NLP. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 16th International Conference on VLSI Design (VLSI Design 2003), 4-8 January 2003, New Delhi, India, pp. 183-188, 2003, IEEE Computer Society, 0-7695-1868-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Memory interleaving, NLP co-processor, Perfect match, Approximate match |
18 | Naotaka Ohsawa, Masanori Hariyama, Michitaka Kameyama |
High-Performance Field Programmable VLSI Processor Based on a Direct Allocation of a Control/Data Flow Graph. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2002 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2002), 25-26 April 2002, Pittsburgh, PA, USA, pp. 95-100, 2002, IEEE Computer Society, 0-7695-1486-3. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
FPGA, Reconfigurable processor, Two-dimensional array, Bit-serial architecture |
18 | Eckhard Grass, Bodhisatya Sarker, Koushik Maharatna |
A Dual-Mode Synchronous/Asynchronous CORDIC Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASYNC ![In: 8th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2002), 9-11 April 2002, Manchester, UK, pp. 76-83, 2002, IEEE Computer Society, 0-7695-1540-1. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
CORDIC processor, dual-mode, synchronous, asynchronous, self-timed |
18 | Sanjay V. Rajopadhye, Steven Derrien |
Energy/Power Estimation of Regular Processor Arrays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSS ![In: Proceedings of the 15th International Symposium on System Synthesis (ISSS 2002), October 2-4, 2002, Kyoto, Japan, pp. 50-55, 2002, ACM / IEEE Computer Society, 1-58113-576-9. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
processor array partitioning, design space exploration, power estimation, programmable logic |
18 | Sungbae Hwang, Jacob A. Abraham |
Selective-run built-in self-test using an embedded processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 12th ACM Great Lakes Symposium on VLSI 2002, New York, NY, USA, April 18-19, 2002, pp. 124-129, 2002, ACM, 1-58113-462-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
processor-based testing, built-in self-test, design for testability, SOC testing, pseudo-random number generator |
18 | Victor Varshavsky, Vyacheslav Marakhovsky |
GALA Approach in Design of Asynchronous Control for Counterflow Pipeline Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DELTA ![In: 1st IEEE International Workshop on Electronic Design, Test and Applications (DELTA 2002), 29-31 January 2002, Christchurch, New Zealand, pp. 73-80, 2002, IEEE Computer Society, 0-7695-1453-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
GALA - Globally Asynchronous Locally Arbitrary, Counterflow Pipeline Processor, Synchronous Prototype, Arbitration, Asynchronous Design |
18 | Kevin T. Pedretti, Ron Brightwell, Joshua Williams |
Cplant? Runtime System Support for Multi-Processor and Heterogeneous Compute Nodes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CLUSTER ![In: 2002 IEEE International Conference on Cluster Computing (CLUSTER 2002), 23-26 September 2002, Chicago, IL, USA, pp. 207-214, 2002, IEEE Computer Society, 0-7695-1745-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
heterogeneous computing, runtime system, multi-processor, commodity cluster |
18 | Randal E. Bryant, Steven M. German, Miroslav N. Velev |
Processor verification using efficient reductions of the logic of uninterpreted functions to propositional logic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Log. ![In: ACM Trans. Comput. Log. 2(1), pp. 93-134, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
processor verfication, decision procedures, uninterpreted functions |
18 | Yomin Hou, Chien-Min Wang, Chiu-Yu Ku, Lih-Hsing Hsu |
Optimal Processor Mapping for Linear-Complement Communication on Hypercubes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 12(5), pp. 514-527, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
linear-complement communication, channel contention, Hypercubes, wormhole routing, processor mapping |
18 | David R. Martinez, Tyler J. Moeller, Ken Teitelbaum |
Application of Reconfigurable Computing to a High Performance Front-End Radar Signal Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 28(1-2), pp. 63-83, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
VLSI rader signal processor, front end high performance filtering, digital filtering mapped to reconfigurable computing, commercial FPGA hardware, reconfigurable hardware |
18 | Chunho Lee, Johnson Kin, Miodrag Potkonjak, William H. Mangione-Smith |
Exploring Hypermedia Processor Design Space. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 27(1-2), pp. 171-186, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
hypermedia processor, synthesis framework, instruction-level parallelism, workload characterization |
18 | Eric Schnarr, Mark D. Hill, James R. Larus |
Facile: A Language and Compiler for High-Performance Processor Simulators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the 2001 ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI), Snowbird, Utah, USA, June 20-22, 2001, pp. 321-331, 2001, ACM, 1-58113-414-2. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
micro-architecture simulation, out-of-order processor simulation, partial evaluation, memoization |
18 | Mark N. Yankelevsky, Constantine D. Polychronopoulos |
alpha-coral: a multigrain, multithreaded processor architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 15th international conference on Supercomputing, ICS 2001, Sorrento, Napoli, Italy, June 16-21, 2001, pp. 358-367, 2001, ACM, 1-58113-410-X. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
processor archietecture, multithreaded, parallelizing compiler |
18 | Bruce Greer, John Harrison 0001, Greg Henry, Wei Wayne Li, Ping Tak Peter Tang |
Scientific computing on the Itanium processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the 2001 ACM/IEEE conference on Supercomputing, Denver, CO, USA, November 10-16, 2001, CD-ROM, pp. 41, 2001, ACM, 1-58113-293-X. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
fused multiply-add, itanium (TM) processor, linear algebra, Intel, EPIC, transcendental functions |
18 | Guoping Liu 0004, Kyungsook Y. Lee, Harry F. Jordan |
n-Dimensional Processor Arrays with Optical dBuses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 16(3), pp. 149-163, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
de Bruijn digraph, wavelength division multiplexing, optical interconnections, processor array, time division multiplexing |
18 | Po-Jen Chuang, Chih-Ming Wu |
An Efficient Recognition-Complete Processor Allocation Strategy for k-ary n-cube Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 11(5), pp. 485-490, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Full subcube recognition, internal and external fragmentation, k-ary n-cube multiprocessors, performance evaluation, time complexity, processor allocation |
18 | Hyunseung Choo, Seong-Moo Yoo, Hee Yong Youn |
Processor Scheduling and Allocation for 3D Torus Multicomputer Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 11(5), pp. 475-484, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Best-fit and first-fit approach, processor scheduling and allocation, 3D torus, multicomputer |
18 | Tadayoshi Horita, Itsuo Takanami |
Fault Tolerant Processor Arrays Based on 1 1/2-Track Switch with Generalized Spare Distributions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPAN ![In: 1999 International Symposium on Parallel Architectures, Algorithms and Networks (ISPAN '99), 23-25 June 1999, Fremantle, Australia, pp. 135-137, 1999, IEEE Computer Society, 0-7695-0231-8. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
The 1 1/2-track switch model, wefer scale integration, reconfiguration, yield enhancement, mesh-connected processor arrays |
18 | Zhi-Li Zhang |
Large deviations and the generalized processor sharing scheduling for a multiple-queue system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Queueing Syst. Theory Appl. ![In: Queueing Syst. Theory Appl. 28(4), pp. 349-376, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
asymptotic decay rate, queue length tail distributions, generalized processor sharing, large deviation principles |
18 | Paul Dupuis, Kavita Ramanan |
A Skorokhod Problem formulation and large deviation analysis of a processor sharing model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Queueing Syst. Theory Appl. ![In: Queueing Syst. Theory Appl. 28(1-3), pp. 109-124, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
Skorokhod Problem, large deviation estimates, processor sharing |
18 | Eric Schnarr, James R. Larus |
Fast Out-Of-Order Processor Simulation Using Memoization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: ASPLOS-VIII Proceedings of the 8th International Conference on Architectural Support for Programming Languages and Operating Systems, San Jose, California, USA, October 3-7, 1998., pp. 283-294, 1998, ACM Press, 1-58113-107-0. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
out-of-order processor simulation, memoization, direct-execution |
18 | Hong Chen, Offer Kella, Gideon Weiss |
Fluid approximations for a processor-sharing queue. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Queueing Syst. Theory Appl. ![In: Queueing Syst. Theory Appl. 27(1-2), pp. 99-125, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
processor-sharing discipline, fluid approximation, functional strong law of large numbers, GI/G/1 queue |
18 | Kelvin K. Yue, David J. Lilja |
An Effective Processor Allocation Strategy for Multiprogrammed Shared-Memory Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 8(12), pp. 1246-1258, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
Parallel loop scheduling, operating system, shared-memory multiprocessors, multiprogramming, processor allocation |
18 | Andrew Wolfe, Jason Fritts, Santanu Dutta, Edil S. T. Fernandes |
Datapath Design for a VLIW Video Signal Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the 3rd IEEE Symposium on High-Performance Computer Architecture (HPCA '97), San Antonio, Texas, USA, February 1-5, 1997, pp. 24-35, 1997, IEEE Computer Society, 0-8186-7764-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
datapath design, VLIW video signal processor, very long instruction word, high parallelism, high-level language programmability, high-bandwidth interconnect, high-connectivity register files, parameterizable versions, VLSI, video signal processing, VLIW architectures, compiler design |
18 | Juha Plosila, Kaisa Sere |
Action Systems in Pipelined Processor Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASYNC ![In: 3rd International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC '97), 7-10 April 1997, Eindhoven, The Netherlands, pp. 156-166, 1997, IEEE Computer Society, 0-8186-7922-0. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
pipelined processor design, asynchronous pipelined microprocessors, hazard situations, verifying refinement steps, circuit implementation, speed-independent techniques, refinement calculus, refinement calculus, action systems |
18 | Zahari M. Darus, Iftekhar Ahmed 0003, Liakot Ali |
A test processor chip implementing multiple seed, multiple polynomial linear feedback shift register. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 6th Asian Test Symposium (ATS '97), 17-18 November 1997, Akita, Japan, pp. 155-, 1997, IEEE Computer Society, 0-8186-8209-4. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
test processor chip, multiple polynomial linear feedback shift register, ASIC chip, scan-path testing, external IC tester, simulation, fault coverage, shift registers, pattern generator, multiple seed |
18 | Michiko Inoue, Sen Moriya, Toshimitsu Masuzawa, Hideo Fujiwara |
Optimal Wait-Free Clock Synchronisation Protocol on a Shared-Memory Multi-processor System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WDAG ![In: Distributed Algorithms, 11th International Workshop, WDAG '97, Saarbrücken, Germany, September 24-26, 1997, Proceedings, pp. 290-304, 1997, Springer, 3-540-63575-0. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
shared-memory multi-processor system, napping fault, fault-tolerance, clock-synchronization, wait-freedom |
18 | Hea-Sook Park, Sung-Jin Moon, Man-Sik Park, Boseob Kwon, Kwang-Suk Song |
Design of inter processor communication controller using ATM switch and analysis of its optimal message length considering retransmission. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: 4th International Workshop on Real-Time Computing Systems and Applications (RTCSA '97), 27-29 October 1997, Taipei, Taiwan, pp. 18-25, 1997, IEEE Computer Society, 0-8186-8073-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
inter processor communication controller, optimal message length, distributed large-scale multiprocessors, ATM adaptation layer, message error rate, message retransmission rate, asynchronous transfer mode, ATM switch, asynchronous transfer mode switches |
18 | Fabio Ancona, Rodolfo Zunino |
Optimal data allocation for processor-tree architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROMICRO ![In: 23rd EUROMICRO Conference '97, New Frontiers of Information Technology, 1-4 September 1997, Budapest, Hungary, pp. 351-358, 1997, IEEE Computer Society, 0-8186-8129-2. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
optimal data allocation, processor-tree architectures, slice-based data-allocation strategy, data-distribution procedure, high-dimensional data processing, noise-like coding model, resource allocation, associative memory, neural network modeling, theoretical analysis |
18 | Hercule Kwan, Edward J. Powers, Earl E. Swartzlander Jr. |
Realization of a nonlinear digital filter on a DSP array processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: 1997 International Conference on Application-Specific Systems, Architectures, and Processors (ASAP '97), 14-16 July 1997, Zurich, Switzerland, pp. 24-33, 1997, IEEE Computer Society, 0-8186-7958-1. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
nonlinear digital filter, third-order Volterra digital filtering algorithm, AT&T DSP-3 parallel processor, nonlinear communication channel equalization, 64-QAM signal constellation, performance evaluation, digital signal processing chips, time-skewing |
18 | Po-Jen Chuang, Chih-Ming Wu |
Processor Allocation in k-ary n-cube Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPAN ![In: 1997 International Symposium on Parallel Architectures, Algorithms and Networks (ISPAN '97), 18-20 December 1997, Taipei, Taiwan, pp. 211-214, 1997, IEEE Computer Society, 0-8186-8259-0. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
Full subcube recognition, internal and external fragmentations, k-ary n-cube multiprocessors, performance evaluation, processor allocation |
18 | Josep Lluís Larriba-Pey, Daniel Jiménez-González, Juan J. Navarro |
An Analysis of Superscalar Sorting Algorithms on an R8000 Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SCCC ![In: Proceedings of 17th International Conference of the Chilean Computer Science Society (SCCC '97), November 12-14, 1997, Valpariso, Chile, pp. 125-134, 1997, IEEE Computer Society, 0-8186-8052-0. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
superscalar sorting algorithms, R8000 processor, in-memory sorting algorithms, Quick sort, Heap sort, Multiway merge, parallel algorithms, locality, superscalar architectures, Radix sort, Bucket sort |
18 | Hee Yong Youn, Jae Young Lee |
An Efficient Dictionary Machine Using Hexagonal Processor Arrays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 7(3), pp. 266-273, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
edge disjoint embedding, update and query, processor array, hexagonal mesh, Dictionary machine |
18 | Winfried Grünewald, Theo Ungerer |
Towards Extremely Fast Context Switching in a Block-Multithreaded Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROMICRO ![In: 22rd EUROMICRO Conference '96, Beyond 2000: Hardware and Software Design Strategies, September 2-5, 1996, Prague, Czech Republic, pp. 592-599, 1996, IEEE Computer Society, 0-8186-7487-3. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
extremely fast context switching, block-multithreaded processor, fast context switch, Rhamma, off-chip cache, workstation environment, memory cycle time, latencies, synchronisation, memory accesses, functional unit, synchronization operations |
18 | Hylke W. van Dijk, Gerben J. Hekstra, Ed F. Deprettere |
Jacobi-Specific Processor Arrays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: 1996 International Conference on Application-Specific Systems, Architectures, and Processors (ASAP '96), August 19-23, 1996, Chicago, IL , USA, pp. 323-, 1996, IEEE Computer Society, 0-8186-7542-X. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
Jacobi-specific processor arrays, Jacobi algorithms, array implementations prototyping, adaptive matrix QR decomposition, compiler, program compilers, software prototyping, Jacobian matrices |
18 | Jürgen Teich, Lothar Thiele, Li Zhang 0036 |
Scheduling of Partitioned Regular Algorithms on Processor Arrays with Constrained Resources. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: 1996 International Conference on Application-Specific Systems, Architectures, and Processors (ASAP '96), August 19-23, 1996, Chicago, IL , USA, pp. 131-144, 1996, IEEE Computer Society, 0-8186-7542-X. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
Scheduling, Partitioning, Processor Arrays |
18 | Jens Braband |
Waiting time distributions for closed M/M/N processor sharing queues. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Queueing Syst. Theory Appl. ![In: Queueing Syst. Theory Appl. 19(3), pp. 331-344, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
multiple server queues, central server model, numerical inversion, Processor sharing, Laplace transforms, waiting time distributions |
18 | Stefan Tschöke, Reinhard Lüling, Burkhard Monien |
Solving the traveling salesman problem with a distributed branch-and-bound algorithm on a 1024 processor network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS ![In: Proceedings of IPPS '95, The 9th International Parallel Processing Symposium, April 25-28, 1995, Santa Barbara, California, USA, pp. 182-189, 1995, IEEE Computer Society, 0-8186-7074-6. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
distributed branch-and-bound algorithm, 1024 processor network, 1-tree relaxation, direct-neighbor dynamic load-balancing strategy, parallel algorithms, parallelization, travelling salesman problems, travelling salesman problem, massively parallel computer, sequential algorithm |
18 | Yasuhiro Kokusho, Norihisa Doi |
Scheduling hard-realtime parallel tasks onto the processor network with wrapped mesh topology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: 2nd International Workshop on Real-Time Computing Systems and Applications, October 25 - 27, 1995, Tokyo, Japan, pp. 232-, 1995, IEEE Computer Society, 0-8186-7106-8. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
realtime parallel tasks, wrapped mesh topology, load-distribution mechanism, Double-Layered Load-Distribution, DLLD, scheduling, real-time systems, parallel processing, parallel computing, resource allocation, multiprocessing systems, multiprocessor systems, processor scheduling |
18 | Young-Joon Kim, Seong-Whan Lee, Myung-Won Kim |
Parallel hardware implementation of handwritten character recognition system on wavefront array processor architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDAR ![In: Third International Conference on Document Analysis and Recognition, ICDAR 1995, August 14 - 15, 1995, Montreal, Canada. Volume II, pp. 715-718, 1995, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
character recognition equipment, parallel hardware, wavefront array processor, unconstrained handwritten numerals, parallel architectures, character recognition, handwriting recognition, image classification, neural nets, clock skew, handwritten character recognition, neural network classifier |
18 | Phill-Kyu Rhee, T. Fujisaki |
Intelligent document assistant processor for pen-based computing systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDAR ![In: Third International Conference on Document Analysis and Recognition, ICDAR 1995, August 14 - 15, 1995, Montreal, Canada. Volume II, pp. 1065-1068, 1995, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
pen-based computing systems, IDAP, Intelligent Document Assistant Processor, roughly drawn documents, neat documents, H-COS, intelligent user interface, document handling |
18 | Alok N. Choudhary, Bhagirath Narahari, David M. Nicol, Rahul Simha |
Optimal Processor Assignment for a Class of Pipelined Computations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 5(4), pp. 439-445, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
multitasked parallel architectures, processor assignment problem, series-parallel partial order, parallel analysis, task structure, series-parallel task system, series analysis, computer vision, resource allocation, parallel architectures, data dependencies, pipeline processing, data sets, pipelined computations |
18 | Brian M. Carlson, Lawrence W. Dowdy |
Static Processor Allocation in a Soft Real-Time Multiprocessor Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 5(3), pp. 316-320, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
static processor allocation, soft real-time multiprocessor environment, parallelenvironment, static allocation policies, equal partitions, two partitions, 16-node iPSC/2hypercube, real-time systems, resource allocation, hypercube networks, multiprocessing programs |
18 | Zicheng Guo, Rami G. Melhem |
Embedding Binary X-Trees and Pyramids in Processor Arrays with Spanning Buses. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 5(6), pp. 664-672, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
binaryX-trees, spanning buses, 2-D arrayarchitectures, routing step, parallel architectures, multiprocessor interconnection networks, embedding, network routing, binary trees, processor arrays, pyramids, network embeddings |
18 | M. Yu. Kitaev |
The M/G/1 processor-sharing model: transient behavior. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Queueing Syst. Theory Appl. ![In: Queueing Syst. Theory Appl. 14(3-4), pp. 239-273, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
virtual sojourn time distribution, marked point process, random measure, predictable projection, random time change, Processor-sharing, time-sharing, branching process, transient behavior |
18 | John A. Morrison |
Head of the line processor sharing for many symmetric queues with finite capacity. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Queueing Syst. Theory Appl. ![In: Queueing Syst. Theory Appl. 14(1-2), pp. 215-237, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
head of the line processor sharing, many queues, loss probability, Asymptotics |
18 | Xiaoming Tan, Yongzhi (Peter) Yang, Charles Knessl |
The conditional sojourn time distribution in the GI/M/1 processor-sharing queue in heavy traffic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Queueing Syst. Theory Appl. ![In: Queueing Syst. Theory Appl. 14(1-2), pp. 99-109, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
processor-sharing, heavy traffic, sojourn time, Asymptotics |
18 | Myung Hoon Sunwoo, J. K. Aggarwal |
A Sliding Memory Plane Array Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 4(6), pp. 601-612, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
sliding memory, plane array processor, mesh-connected, single-input multiple-data, SliM, image processing, image processing, parallel architectures |
18 | Qing Yang 0001, Hong Wang 0003 |
A New Graph Approach to Minimizing Processor Fragmentation in Hypercube Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 4(10), pp. 1165-1171, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
graph approach, minimizing processor fragmentation, primecube graph, simulation, performance evaluation, multiprocessing systems, hypercube networks, digital simulation, hypercube multiprocessors |
18 | Charles Knessl |
On the Sojourn Time Distribution in a Finite Capacity Processor Shared Queue. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. ACM ![In: J. ACM 40(5), pp. 1238-1301, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
processor-sharing, sojourn times, asymptotics |
18 | Lionel C. Waring, Maurice Clint |
Computation of the Eigenvalues of Real Symmetric Matrices Using a Processor Farm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CONPAR ![In: Parallel Processing: CONPAR 92 - VAPP V, Second Joint International Conference on Vector and Parallel Processing, Lyon, France, September 1-4, 1992, Proceedings, pp. 355-360, 1992, Springer, 3-540-55895-0. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
orthogonalisation, processor farm, matrix multiplication, Linear algebra, Transputers, ring topology |
18 | Jong Kim 0001, Chita R. Das, Woei Lin |
A Top-Down Processor Allocation Scheme for Hypercube Computers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 2(1), pp. 20-30, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
top-down processor allocation scheme, free list, bottom-upapproach, buddy allocation, timecomplexity, noncubic allocation, inclusion/exclusion allocation, parallel processing, hypercube networks, parallel implementation, gray code, average delay, hypercube computers, system utilization |
18 | Lorenz A. Schmitt, Stephen S. Wilson |
The AIS-5000 Parallel Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Pattern Anal. Mach. Intell. ![In: IEEE Trans. Pattern Anal. Mach. Intell. 10(3), pp. 320-330, 1988. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
AIS-5000, parallel memory organization, image-based algorithms, computer vision, computer vision, parallel architecture, parallel architectures, computerised picture processing, computerised picture processing, microprocessor chips, parallel processor, SIMD architecture |
18 | Olin H. Bray, Sperry Univac |
Data Usage And The Data Base Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Annual Conference (1) ![In: Proceedings 1978 ACM Annual Conference, Washington, DC, USA, December 4-6, 1978, Volume I, pp. 234-240, 1978, ACM, 978-0-89791-000-2. The full citation details ...](Pics/full.jpeg) |
1978 |
DBLP DOI BibTeX RDF |
Data base processor, Data base usage, Data management applications, Data base management systems |
16 | Chieh-Feng Chiang, Jimmy J. M. Tan |
Using Node Diagnosability to Determine t-Diagnosability under the Comparison Diagnosis Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 58(2), pp. 251-259, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
16 | Doruk Bozdag, Füsun Özgüner, Ümit V. Çatalyürek |
Compaction of Schedules and a Two-Stage Approach for Duplication-Based DAG Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 20(6), pp. 857-871, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
16 | Brian Greskamp, Lu Wan, Ulya R. Karpuzcu, Jeffrey J. Cook, Josep Torrellas, Deming Chen, Craig B. Zilles |
Blueshift: Designing processors for timing speculation from the ground up. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 15th International Conference on High-Performance Computer Architecture (HPCA-15 2009), 14-18 February 2009, Raleigh, North Carolina, USA, pp. 213-224, 2009, IEEE Computer Society, 978-1-4244-2932-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
16 | Tak Wah Lam, Lap-Kei Lee, Hing-Fung Ting, Isaac Kar-Keung To, Prudence W. H. Wong |
Sleep with Guilt and Work Faster to Minimize Flow Plus Energy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICALP (1) ![In: Automata, Languages and Programming, 36th International Colloquium, ICALP 2009, Rhodes, Greece, July 5-12, 2009, Proceedings, Part I, pp. 665-676, 2009, Springer, 978-3-642-02926-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
16 | Subhash Saini, Andrey Naraikin, Rupak Biswas, David Barkai, Timothy Sandstrom |
Early performance evaluation of a "Nehalem" cluster using scientific and engineering applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE Conference on High Performance Computing, SC 2009, November 14-20, 2009, Portland, Oregon, USA, 2009, ACM, 978-1-60558-744-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
16 | Zusong Li, Dandan Huan, Weiwu Hu, Zhimin Tang |
Chip Multithreaded Consistency Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Sci. Technol. ![In: J. Comput. Sci. Technol. 23(2), pp. 298-305, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Godson-2, computer architecture, multithreading, memory consistency model, event ordering |
16 | Dimitris Gizopoulos, Mihalis Psarakis, Miltiadis Hatzimihail, Michail Maniatakos, Antonis M. Paschalis, Anand Raghunathan, Srivaths Ravi 0001 |
Systematic Software-Based Self-Test for Pipelined Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 16(11), pp. 1441-1453, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
16 | Roman L. Lysecky |
Scalability and Parallel Execution of Warp Processing: Dynamic Hardware/Software Partitioning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Parallel Program. ![In: Int. J. Parallel Program. 36(5), pp. 478-492, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Warp processing, Embedded systems, Hardware/software partitioning, Dynamically adaptable systems |
16 | Joonhyuk Yoo, Manoj Franklin |
Hierarchical Verification for Increasing Performance in Reliable Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 24(1-3), pp. 117-128, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Active verification management, Filter checker, Correctness non-critically, Fault tolerance, Performance, Hierarchical verification |
16 | Jian-Jia Chen, Chia-Mei Hung, Tei-Wei Kuo |
On the Minimization fo the Instantaneous Temperature for Periodic Real-Time Tasks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Real-Time and Embedded Technology and Applications Symposium ![In: Proceedings of the 13th IEEE Real-Time and Embedded Technology and Applications Symposium, RTAS 2007, April 3-6, 2007, Bellevue, Washington, USA, pp. 236-248, 2007, IEEE Computer Society, 978-0-7695-2800-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Temperature-aware scheduling, Real-time systems, Dynamic voltage scaling |
16 | Gokhan Memik, William H. Mangione-Smith |
Evaluating Network Processors using NetBench. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 5(2), pp. 453-471, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Embedded systems, benchmarking, network processors |
16 | P. J. Joseph, Kapil Vaswani, Matthew J. Thazhuthaveetil |
A Predictive Performance Model for Superscalar Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-39 2006), 9-13 December 2006, Orlando, Florida, USA, pp. 161-170, 2006, IEEE Computer Society, 0-7695-2732-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Arata Shinozaki, Masatoshi Shima 0002, Minyi Guo, Mitsunori Kubo |
A High Performance Simulator System for a Multiprocessor System Based on a Multi-way Cluster. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asia-Pacific Computer Systems Architecture Conference ![In: Advances in Computer Systems Architecture, 11th Asia-Pacific Conference, ACSAC 2006, Shanghai, China, September 6-8, 2006, Proceedings, pp. 231-243, 2006, Springer, 3-540-40056-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
|
|