Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
22 | Per Haglund, Oskar Mencer, Wayne Luk, Benjamin Tai |
PyHDL: Hardware Scripting with Python. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Engineering of Reconfigurable Systems and Algorithms ![In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, June 23 - 26, 2003, Las Vegas, Nevada, USA, pp. 288-291, 2003, CSREA Press. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP BibTeX RDF |
|
22 | Tien-Lung Lee, Neil W. Bergmann |
An Interface Methodology for Retargettable FPGA Peripherals. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Engineering of Reconfigurable Systems and Algorithms ![In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, June 23 - 26, 2003, Las Vegas, Nevada, USA, pp. 167-173, 2003, CSREA Press. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP BibTeX RDF |
|
22 | Timothy F. Oliver, Douglas L. Maskell |
Towards Run-Time Re-Configurable Techniques for Real-Time Embedded Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Engineering of Reconfigurable Systems and Algorithms ![In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, June 23 - 26, 2003, Las Vegas, Nevada, USA, pp. 141-146, 2003, CSREA Press. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP BibTeX RDF |
|
22 | Stephen Charlwood, Steven F. Quigley |
The Impact of Routing Architecture on Reconfiguration Overheads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Engineering of Reconfigurable Systems and Algorithms ![In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, June 23 - 26, 2003, Las Vegas, Nevada, USA, pp. 102-110, 2003, CSREA Press. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP BibTeX RDF |
|
22 | Cameron Patterson |
A Dynamic Module Server for Embedded Platform FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Engineering of Reconfigurable Systems and Algorithms ![In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, June 23 - 26, 2003, Las Vegas, Nevada, USA, pp. 31-40, 2003, CSREA Press. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP BibTeX RDF |
|
22 | William D. Smith, Austars R. Schnore |
Towards an RCC-Based Accelerator for Computational Fluid Dynamics Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Engineering of Reconfigurable Systems and Algorithms ![In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, June 23 - 26, 2003, Las Vegas, Nevada, USA, pp. 222-234, 2003, CSREA Press. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP BibTeX RDF |
|
22 | Kendra M. L. Cooper, Jia Zhou, Hui Ma 0006, I-Ling Yen, Farokh B. Bastani |
Code Parameterization for Satisfaction of QoS Requirements in Embedded Software. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Engineering of Reconfigurable Systems and Algorithms ![In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, June 23 - 26, 2003, Las Vegas, Nevada, USA, pp. 58-64, 2003, CSREA Press. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP BibTeX RDF |
|
22 | Seong Yong Ohm, Ki-Yeol Ryu, Kang Yi |
Lower Bound Estimation on the Numbers of LUT Blocks and Micro-Registers for Time-Mulitplexed FPGA Synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Engineering of Reconfigurable Systems and Algorithms ![In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, June 23 - 26, 2003, Las Vegas, Nevada, USA, pp. 321-324, 2003, CSREA Press. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP BibTeX RDF |
|
22 | A. P. Shanthi, Balaji Vijayan, Manivel Rajendran, Senthilkumar Veluswami, Ranjani Parthasarathi |
JBits Based Fault Tolerant Framework for Evolvable Hardware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Engineering of Reconfigurable Systems and Algorithms ![In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, June 23 - 26, 2003, Las Vegas, Nevada, USA, pp. 111-117, 2003, CSREA Press. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP BibTeX RDF |
|
22 | Maryam S. Mirian, Majid Nili Ahmadabadi, Babak Nadjar Araabi |
A Fault Tolerant Multi-Agent System with Non-Deterministic Decision-Making for Task Allocation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Engineering of Reconfigurable Systems and Algorithms ![In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, June 23 - 26, 2003, Las Vegas, Nevada, USA, pp. 312-315, 2003, CSREA Press. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP BibTeX RDF |
|
22 | Paul M. Heysters, Gerard J. M. Smit, Egbert Molenkamp |
Montium - Balancing between Energy-Efficiency, Flexibility and Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Engineering of Reconfigurable Systems and Algorithms ![In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, June 23 - 26, 2003, Las Vegas, Nevada, USA, pp. 235-241, 2003, CSREA Press. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP BibTeX RDF |
|
22 | Jong-Ru Guo, Chao You, Michael Chu, Kuan Zhou, Young Uk Yim, Robert W. Heikaus, Russell P. Kraft, John F. McDonald 0001 |
A Novel Multi-Speed, Power Saving Architecture for SiGe HBT FPGA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Engineering of Reconfigurable Systems and Algorithms ![In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, June 23 - 26, 2003, Las Vegas, Nevada, USA, pp. 181-187, 2003, CSREA Press. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP BibTeX RDF |
|
22 | Klaus Danne, Christophe Bobda, Heiko Kalte |
Increasing Efficiency by Partial Hardware Reconfiguration: Case Study of a Multi-Controller System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Engineering of Reconfigurable Systems and Algorithms ![In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, June 23 - 26, 2003, Las Vegas, Nevada, USA, pp. 147-153, 2003, CSREA Press. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP BibTeX RDF |
|
22 | Ronald Scrofano, Ju-wook Jang, Viktor K. Prasanna |
Energy-Efficient Discrete Cosine Transform on FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Engineering of Reconfigurable Systems and Algorithms ![In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, June 23 - 26, 2003, Las Vegas, Nevada, USA, pp. 215-221, 2003, CSREA Press. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP BibTeX RDF |
|
22 | Jing Ma, Peter Athanas |
A JBits-Based Incremental Design Environment with Non-Preemptive Refinement for Multi-Million Gate FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Engineering of Reconfigurable Systems and Algorithms ![In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, June 23 - 26, 2003, Las Vegas, Nevada, USA, pp. 118-126, 2003, CSREA Press. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP BibTeX RDF |
|
22 | Zhihong Zhao, Miriam Leeser |
Precision Modeling of Floating-Point Applications for Variable Bitwidth Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Engineering of Reconfigurable Systems and Algorithms ![In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, June 23 - 26, 2003, Las Vegas, Nevada, USA, pp. 208-214, 2003, CSREA Press. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP BibTeX RDF |
|
22 | Seong-Yong Ahn, Yo-Seop Hwang, Jae-Hong Shim, Jeong-A Lee |
Producer and Consumer: Roles of a Microprocessor and a Configurable Logic in a Configurable SoC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Engineering of Reconfigurable Systems and Algorithms ![In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, June 23 - 26, 2003, Las Vegas, Nevada, USA, pp. 325-, 2003, CSREA Press. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP BibTeX RDF |
|
22 | S. Murat Bicer, Frank Pilhofer, Graham Bardouleau, Jeffrey Smith |
Next Generation Architecture for Heterogeneous Embedded Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Engineering of Reconfigurable Systems and Algorithms ![In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, June 23 - 26, 2003, Las Vegas, Nevada, USA, pp. 259-268, 2003, CSREA Press. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP BibTeX RDF |
|
22 | Oswaldo Cadenas, Graham M. Megson, Toomas P. Plaks |
FPGA Circuits for a Monte-Carlo Based Matrix Inversion Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Engineering of Reconfigurable Systems and Algorithms ![In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, June 23 - 26, 2003, Las Vegas, Nevada, USA, pp. 201-207, 2003, CSREA Press. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP BibTeX RDF |
|
22 | Jonathan M. Gentle, Iyad A. Ajwa |
Draak: A Mulitlanguage Macro Compiler. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Engineering of Reconfigurable Systems and Algorithms ![In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, June 23 - 26, 2003, Las Vegas, Nevada, USA, pp. 316-320, 2003, CSREA Press. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP BibTeX RDF |
|
22 | Jacir Luiz Bordim, Yasuaki Ito, Koji Nakano |
Instance-Specific Solutions to Accelerate the CKY Parsing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Engineering of Reconfigurable Systems and Algorithms ![In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, June 23 - 26, 2003, Las Vegas, Nevada, USA, pp. 72-80, 2003, CSREA Press. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP BibTeX RDF |
|
22 | Razak Mohammedali |
Altera FPGA Technology Provides Innovative Solutions for Evolving Market Needs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Engineering of Reconfigurable Systems and Algorithms ![In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, June 23 - 26, 2003, Las Vegas, Nevada, USA, pp. 41-47, 2003, CSREA Press. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP BibTeX RDF |
|
22 | Jürgen Becker 0001, Martin Vorbach |
PACT XPP Architecture in Adaptive System-on-Chip Integration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Engineering of Reconfigurable Systems and Algorithms ![In: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, June 23 - 26, 2003, Las Vegas, Nevada, USA, pp. 21-30, 2003, CSREA Press. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP BibTeX RDF |
|
21 | Mingjie Lin, Ilia A. Lebedev, John Wawrzynek |
High-throughput bayesian computing machine with reconfigurable hardware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 18th International Symposium on Field Programmable Gate Arrays, FPGA 2010, Monterey, California, USA, February 21-23, 2010, pp. 73-82, 2010, ACM, 978-1-60558-911-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
reconfigurable hardware, bayesian computing |
21 | Neil Gershenfeld, David Dalrymple, Kailiang Chen, Ara N. Knaian, Forrest Green, Erik D. Demaine, Scott Greenwald, Peter Schmidt-Nielsen |
Reconfigurable asynchronous logic automata: (RALA). ![Search on Bibsonomy](Pics/bibsonomy.png) |
POPL ![In: Proceedings of the 37th ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages, POPL 2010, Madrid, Spain, January 17-23, 2010, pp. 1-6, 2010, ACM, 978-1-60558-479-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
reconfigurable, logic, asynchronous, automata |
21 | Shahin Golshan, Eli Bozorgzadeh, Benjamin Carrión Schäfer, Kazutoshi Wakabayashi, Houman Homayoun, Alexander V. Veidenbaum |
Exploiting power budgeting in thermal-aware dynamic placement for reconfigurable systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010, Austin, Texas, USA, August 18-20, 2010, pp. 49-54, 2010, ACM, 978-1-4503-0146-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
computer aided design, placement, dynamic reconfiguration, temperature, reconfigurable systems |
21 | Ananth Nallamuthu, Melissa C. Smith, Scott S. Hampton, Pratul K. Agarwal, Sadaf R. Alam |
Energy efficient biomolecular simulations with FPGA-based reconfigurable computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the 7th Conference on Computing Frontiers, 2010, Bertinoro, Italy, May 17-19, 2010, pp. 83-84, 2010, ACM, 978-1-4503-0044-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
biomolecular simulations, lammps, fpga, reconfigurable computing, molecular dynamics |
21 | Grigoris Dimitroulakos, Nikos Kostaras, Michalis D. Galanis, Costas E. Goutis |
Compiler assisted architectural exploration framework for coarse grained reconfigurable arrays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 48(2), pp. 115-151, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Coarse-grained reconfigurable arrays, High productivity tools, Modulo scheduling, Architectural exploration, Compiler techniques |
21 | Kazuteru Namba, Yoshikazu Matsui, Hideo Ito |
Test Compression for IP Core Testing with Reconfigurable Network and Fixing-Flipping Coding. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 25(1), pp. 97-105, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
IP core testing, Fixing-flipping coding, Fixing-shifting-flipping coding, Test compression, Reconfigurable network |
21 | Taewook Oh, Bernhard Egger 0002, Hyunchul Park 0001, Scott A. Mahlke |
Recurrence cycle aware modulo scheduling for coarse-grained reconfigurable architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2009 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems, LCTES 2009, Dublin, Ireland, June 19-20, 2009, pp. 21-30, 2009, ACM, 978-1-60558-356-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
software pipelining, placement and routing, coarse-grained reconfigurable architectures |
21 | Walid A. Najjar, Jason R. Villarreal |
Reconfigurable Computing in the New Age of Parallelism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAMOS ![In: Embedded Computer Systems: Architectures, Modeling, and Simulation, 9th International Workshop, SAMOS 2009, Samos, Greece, July 20-23, 2009. Proceedings, pp. 255-262, 2009, Springer, 978-3-642-03137-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
FPGAs, Reconfigurable computing |
21 | Yanteng Sun, Peng Li 0031, Guochang Gu, Yuan Wen, Yuan Liu, Dong Liu |
HMMer acceleration using systolic array based reconfigurable architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 17th International Symposium on Field Programmable Gate Arrays, FPGA 2009, Monterey, California, USA, February 22-24, 2009, pp. 282, 2009, ACM, 978-1-60558-410-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
reconfigurable., systolic array, acceleration, hmmer |
21 | Viviane Lucy Santos de Souza, Victor Wanderley Costa de Medeiros, Manoel Eusébio de Lima |
Architecture for dense matrix multiplication on a high-performance reconfigurable system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 22st Annual Symposium on Integrated Circuits and Systems Design: Chip on the Dunes, SBCCI 2009, Natal, Brazil, August 31 - September 3, 2009, 2009, ACM, 978-1-60558-705-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
BRAMs (RAM blocks), MAC (multiplier unit), RASC (reconfigurable application-specific computing), performance, FPGA (field programmable gate array), parallelism, matrix multiplication, data reuse |
21 | Caroline Concatto, Debora Matos, Luigi Carro, Fernanda Lima Kastensmidt, Altamiro Amadeu Susin, Érika F. Cota, Márcio Eduardo Kreutz |
Fault tolerant mechanism to improve yield in NoCs using a reconfigurable router. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 22st Annual Symposium on Integrated Circuits and Systems Design: Chip on the Dunes, SBCCI 2009, Natal, Brazil, August 31 - September 3, 2009, 2009, ACM, 978-1-60558-705-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
reconfigurable router, fault tolerance, reliability, network-on-chip, NoC |
21 | Jie Li 0004, Haibo He, Hong Man, Sachi Desai |
A General-Purpose FPGA-Based Reconfigurable Platform for Video and Image Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISNN (3) ![In: Advances in Neural Networks - ISNN 2009, 6th International Symposium on Neural Networks, ISNN 2009, Wuhan, China, May 26-29, 2009, Proceedings, Part III, pp. 299-309, 2009, Springer, 978-3-642-01512-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
video and image processing, edge detection, Reconfigurable system, FPGA design, image scaling |
21 | Jonathan Hook, Stuart Taylor, Alex Butler, Nicolas Villar, Shahram Izadi |
A reconfigurable ferromagnetic input device. ![Search on Bibsonomy](Pics/bibsonomy.png) |
UIST ![In: Proceedings of the 22nd Annual ACM Symposium on User Interface Software and Technology, Victoria, BC, Canada, October 4-7, 2009, pp. 51-54, 2009, ACM, 978-1-60558-745-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
ferromagnetic sensing, malleable surface, reconfigurable input device, tangibles, multi-touch |
21 | Horng-Ren Tsai |
Parallel Algorithms for the Weighted Distance Transform on Linear Arrays with a Reconfigurable Pipelined Bus System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICA3PP ![In: Algorithms and Architectures for Parallel Processing, 9th International Conference, ICA3PP 2009, Taipei, Taiwan, June 8-11, 2009. Proceedings, pp. 478-489, 2009, Springer, 978-3-642-03094-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
linear array with a reconfigurable pipelined bus system, parallel algorithms, image processing, Distance transform |
21 | Shinya Kubota, Minoru Watanabe |
A nine-context programmable optically reconfigurable gate array with semiconductor lasers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, Boston Area, MA, USA, May 10-12 2009, pp. 269-274, 2009, ACM, 978-1-60558-522-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
holographic memory, optically reconfigurable gate arrays, field programmable gate arrays |
21 | Henrique Cota de Freitas, Philippe Olivier Alexandre Navaux |
On the design of reconfigurable crossbar switch for adaptable on-chip topologies in programmable NoC routers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, Boston Area, MA, USA, May 10-12 2009, pp. 129-132, 2009, ACM, 978-1-60558-522-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
adaptable topologies, programmable NoC routers, networks-on-chip, reconfigurable computing, crossbar switch |
21 | Yiqing Huang 0002, Qin Liu 0002, Satoshi Goto, Takeshi Ikenaga |
Reconfigurable SAD tree architecture based on adaptive sub-sampling in HDTV application. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, Boston Area, MA, USA, May 10-12 2009, pp. 463-468, 2009, ACM, 978-1-60558-522-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
reconfigurable architecture, h.264, vlsi |
21 | Yoonjin Kim, Rabi N. Mahapatra |
Dynamic context management for low power coarse-grained reconfigurable architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, Boston Area, MA, USA, May 10-12 2009, pp. 33-38, 2009, ACM, 978-1-60558-522-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
context word, embedded systems, system-on-chip (soc), digital signal processing, coarse-grained reconfigurable architecture, configuration cache |
21 | Xin Li 0020, Weikang Qian, Marc D. Riedel, Kia Bazargan, David J. Lilja |
A reconfigurable stochastic architecture for highly reliable computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, Boston Area, MA, USA, May 10-12 2009, pp. 315-320, 2009, ACM, 978-1-60558-522-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
stochastic logic, reconfigurable architecture, reliable computing |
21 | Zhiguo Ge, Tulika Mitra, Weng-Fai Wong |
A DVS-based pipelined reconfigurable instruction memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009, pp. 897-902, 2009, ACM, 978-1-60558-497-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
reconfigurable memory, low power, instruction cache |
21 | Roger D. Chamberlain, Narayan Ganesan |
Sorting on architecturally diverse computer systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPRCTA@SC ![In: Proceedings of the Third International Workshop on High-Performance Reconfigurable Computing Technology and Applications, HPRTCA 2009, November 15, 2009, Portland, Oregon, USA, pp. 39-46, 2009, ACM, 978-1-60558-721-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
architecturally diverse systems, field-programmable gate arrays, sorting, reconfigurable hardware |
21 | Jin Hwan Park, H. K. Dai 0001 |
Reconfigurable hardware solution to parallel prefix computation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 43(1), pp. 43-58, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Parallel prefix computation, Field-programmable gate arrays, Pipeline, Dataflow, Reconfigurable hardware |
21 | Jie Tao 0001, Marcel Kunze, Fabian Nowak, Rainer Buchty, Wolfgang Karl |
Performance Advantage of Reconfigurable Cache Design on Multicore Processor Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Parallel Program. ![In: Int. J. Parallel Program. 36(3), pp. 347-360, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Simulation, Reconfigurable architecture, Multicore processor, Cache performance |
21 | Tarek A. El-Ghazawi, Esam El-Araby, Miaoqing Huang, Kris Gaj, Volodymyr V. Kindratenko, Duncan A. Buell |
The Promise of High-Performance Reconfigurable Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer ![In: Computer 41(2), pp. 69-76, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
HPRC systems, field-programmable gate arrays, high-performance computing, reconfigurable computing |
21 | Hayden Kwok-Hay So, Robert W. Brodersen |
A unified hardware/software runtime environment for FPGA-based reconfigurable computers using BORPH. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 7(2), pp. 14:1-14:28, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
BORPH, hardware process, FPGA, reconfigurable computers, UNIX |
21 | Nan Guan, Qingxu Deng, Zonghua Gu 0001, Wenyao Xu, Ge Yu 0001 |
Schedulability analysis of preemptive and nonpreemptive EDF on partial runtime-reconfigurable FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 13(4), pp. 56:1-56:43, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
reconfigurable devices, FPGA, Real-time scheduling |
21 | Andreas Raabe, Philipp A. Hartmann, Joachim K. Anlauf |
ReChannel: Describing and simulating reconfigurable hardware in systemC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 13(1), pp. 15:1-15:18, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
hardware description, simulation, refinement, dynamic reconfiguration, SystemC, Reconfigurable hardware |
21 | Ioannis Sourdis, João Bispo, João M. P. Cardoso, Stamatis Vassiliadis |
Regular Expression Matching in Reconfigurable Hardware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Signal Process. Syst. ![In: J. Signal Process. Syst. 51(1), pp. 99-121, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
network security, pattern matching, regular expression, reconfigurable hardware |
21 | Ricardo Galán |
Hybrid Heuristic Approaches for Scheduling in Reconfigurable Manufacturing Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Metaheuristics for Scheduling in Industrial and Manufacturing Applications ![In: Metaheuristics for Scheduling in Industrial and Manufacturing Applications, pp. 211-253, 2008, Springer, 978-3-540-78984-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Reconfigurable Manufacturing Systems, Scheduling, Ant Colony Optimization, Tabu Search, Meta-heuristics |
21 | Bjorn De Sutter, Paul Coene, Tom Vander Aa, Bingfeng Mei |
Placement-and-routing-based register allocation for coarse-grained reconfigurable arrays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2008 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'08), Tucson, AZ, USA, June 12-13, 2008, pp. 151-160, 2008, ACM, 978-1-60558-104-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
register allocation, placement and routing, coarse-grained, reconfigurable arrays |
21 | Hyunchul Park 0001, Kevin Fan, Scott A. Mahlke, Taewook Oh, Heeseok Kim, Hong-Seok Kim |
Edge-centric modulo scheduling for coarse-grained reconfigurable architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 17th International Conference on Parallel Architectures and Compilation Techniques, PACT 2008, Toronto, Ontario, Canada, October 25-29, 2008, pp. 166-176, 2008, ACM, 978-1-60558-282-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
operand routing, programmable accelerator, software pipelining, coarse-grained reconfigurable architecture |
21 | Monica Magalhães Pereira, Sílvio R. F. de Araújo, Bruno Cruz de Oliveira, Ivan Saraiva Silva |
Using traditional loop unrolling to fit application on a new hybrid reconfigurable architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2008 ACM Symposium on Applied Computing (SAC), Fortaleza, Ceara, Brazil, March 16-20, 2008, pp. 1552-1553, 2008, ACM, 978-1-59593-753-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
stream-based, optimization, performance, reconfigurable architecture |
21 | Gianmarco Baldini, Raimondo Giuliani, Dimitrios Symeonidis |
Reconfigurable Radio Systems for Public Safety Based on Low-Cost Platforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EuroISI ![In: Intelligence and Security Informatics, First European Conference, EuroISI 2008, Esbjerg, Denmark, December 3-5, 2008. Proceedings, pp. 237-247, 2008, Springer, 978-3-540-89899-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
reconfigurable radio systems, security, SDR, public safety |
21 | Didier Keymeulen, Adrian Stoica, Ricardo Salem Zebulum, Srinivas Katkoori, Pradeep Fernando, Hariharan Sankaran, Mohammad M. Mojarradi, Taher Daud |
Self-Reconfigurable Mixed-Signal Integrated Circuits Architecture Comprising a Field Programmable Analog Array and a General Purpose Genetic Algorithm IP Core. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICES ![In: Evolvable Systems: From Biology to Hardware, 8th International Conference, ICES 2008, Prague, Czech Republic, September 21-24, 2008. Proceedings, pp. 225-236, 2008, Springer, 978-3-540-85856-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Self-Healing and Compensation, Self-reconfigurable, Field Programmable Analog Array |
21 | Emilia Sipos, Lelia Festila, Gabriel Oltean |
Towards Reconfigurable Circuits Based on Ternary Controlled Analog Multiplexers/Demultiplexers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KES (3) ![In: Knowledge-Based Intelligent Information and Engineering Systems, 12th International Conference, KES 2008, Zagreb, Croatia, September 3-5, 2008, Proceedings, Part III, pp. 351-359, 2008, Springer, 978-3-540-85566-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Analog multiplexer, reconfigurable circuit, transmission gate, CMOS transistors, SUS-LOC |
21 | Ming Zhong, Mantian Li, Li-Ning Sun |
Tanbot: A Self-reconfigurable Robot Enhanced with Mobility. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIRA (1) ![In: Intelligent Robotics and Applications, First International Conference, ICIRA 2008, Wuhan, China, October 15-17, 2008 Proceedings, Part I, pp. 1227-1237, 2008, Springer, 978-3-540-88512-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
mobility, modularity, docking, Self-reconfigurable robot |
21 | Ambrose Chu, Scott Miller, Mihai Sima |
Reconfigurable solutions for very-long arithmetic with applications in cryptography. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, Orlando, Florida, USA, May 4-6, 2008, pp. 59-64, 2008, ACM, 978-1-59593-999-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
field-programmable gate arrays, embedded systems, cryptography, reconfigurable computing |
21 | Tzung-Rung Jung, Lan-Da Van, Wai-Chi Fang, Teng-Yao Sheu |
Reconfigurable Depth Buffer Compression Design for 3D Graphics System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MUE ![In: 2008 International Conference on Multimedia and Ubiquitous Engineering (MUE 2008), 24-26 April 2008, Busan, Korea, pp. 470-474, 2008, IEEE Computer Society, 978-0-7695-3134-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
depth buffer compression, Reconfigurable, 3D graphics |
21 | Hans G. Kerkhoff, Jarkko J. M. Huijts |
Testing of a Highly Reconfigurable Processor Core for Dependable Data Streaming Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DELTA ![In: 4th IEEE International Symposium on Electronic Design, Test and Applications, DELTA 2008, Hong Kong, January 23-25, 2008, pp. 38-44, 2008, IEEE Computer Society, 978-0-7695-3110-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
reconfigurable multi-processor-cores SoC, embedded system test, dependable SoCs, ATPG, Design-for-Test, self-repair |
21 | Nikolaos Vassiliadis, George Theodoridis, Spiridon Nikolaidis 0001 |
ARISE Machines: Extending Processors with Hybrid Accelerators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARC ![In: Reconfigurable Computing: Architectures, Tools and Applications, 4th International Workshop, ARC 2008, London, UK, March 26-28, 2008. Proceedings, pp. 195-206, 2008, Springer, 978-3-540-78609-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
reconfigurable instruction set processor, custom unit, FPGA, coprocessor |
21 | Yang Cao, Hoda A. ElMaraghy, Ahmed Azab |
Reconfigurable Control Structure for Robots in Assembly. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Intell. Robotic Syst. ![In: J. Intell. Robotic Syst. 50(4), pp. 419-439, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Reconfigurable control, Supervisory Control Switching System, Robotic system |
21 | Iván González 0004, Estanislao Aguayo, Sergio López-Buedo |
Self-Reconfigurable Embedded Systems on Low-Cost FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 27(4), pp. 49-57, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
reconfigurable hardware, real-time and embedded systems, special-purpose and application-based systems, algorithms implemented in hardware |
21 | Nicholas Moore, Albert Conti, Miriam Leeser, Laurie A. Smith King |
Vforce: An Extensible Framework for Reconfigurable Supercomputing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer ![In: Computer 40(3), pp. 39-49, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Vforce framework, reconfigurable computing, Supercomputing |
21 | Duncan A. Buell, Tarek A. El-Ghazawi, Kris Gaj, Volodymyr V. Kindratenko |
Guest Editors' Introduction: High-Performance Reconfigurable Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer ![In: Computer 40(3), pp. 23-27, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
HPRCs, FPGAs, reconfigurable computing |
21 | Gerald R. Morris, Viktor K. Prasanna |
Sparse Matrix Computations on Reconfigurable Hardware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer ![In: Computer 40(3), pp. 58-64, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Jacobi method, FPGAs, reconfigurable computing, Conjugate gradient method |
21 | Hsin-Wen Ting, Cheng-Wu Lin, Bin-Da Liu, Soon-Jyh Chang |
Oscillator-Based Reconfigurable Sinusoidal Signal Generator for ADC BIST. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 23(6), pp. 549-558, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Reconfigurable oscillator, Sinusoidal signal generator, Sigma-delta modulator |
21 | Euripides Sotiriades, Apostolos Dollas |
A General Reconfigurable Architecture for the BLAST Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 48(3), pp. 189-208, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
FPGA BLAST, reconfigurable BLAST architecture, FPGA BLAST architecture, bioinformatic hardware, bioinformatic FPGA |
21 | Angela L. Chiu, Gagan L. Choudhury, Robert D. Doverspike, Guangzhi Li |
Restoration Design in IP over Reconfigurable All-Optical Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NPC ![In: Network and Parallel Computing, IFIP International Conference, NPC 2007, Dalian, China, September 18-21, 2007, Proceedings, pp. 315-333, 2007, Springer, 978-3-540-74783-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
IP-over-Optical, ULH, ROADM, reconfigurable all-optical network, wavelength service, shared mesh restoration, restoration overbuild, traffic engineering, fast reroute, IP service |
21 | Tomoo Inoue, Takashi Fujii, Hideyuki Ichihara |
Optimal Contexts for the Self-Test of Coarse Grain Dynamically Reconfigurable Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETS ![In: 12th European Test Symposium, ETS 2007, Freiburg, Germany, May 20, 2007, pp. 117-124, 2007, IEEE Computer Society, 978-0-7695-2827-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Dynamically reconfigurable processors, optimal contexts, test frames, self-test, test application time |
21 | Afshin Niktash, Hooman Parizi, Nader Bagherzadeh |
A Reconfigurable Processor for Forward Error Correction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARCS ![In: Architecture of Computing Systems - ARCS 2007, 20th International Conference, Zurich, Switzerland, March 12-15, 2007, Proceedings, pp. 1-13, 2007, Springer, 978-3-540-71267-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Turbo, Forward Error Correction, Processing Element, Reconfigurable Processor, Viterbi |
21 | Minoru Watanabe, Fuminori Kobayashi |
A 0.35um CMOS 1, 632-gate-count Zero-Overhead Dynamic Optically Reconfigurable Gate Array VLSI. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 12th Conference on Asia South Pacific Design Automation, ASP-DAC 2007, Yokohama, Japan, January 23-26, 2007, pp. 124-125, 2007, IEEE Computer Society, 1-4244-0629-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
0.35 micron, zero-overhead dynamic optically reconfigurable gate array VLSI, ZO-DORGA-VLSI, junction capacitance, photodiodes, load capacitance, configuration memory, CMOS process chip |
21 | Christoforos Kachris, Stamatis Vassiliadis |
A reconfigurable platform for multi-service edge routers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 20th Annual Symposium on Integrated Circuits and Systems Design, SBCCI 2007, Copacabana, Rio de Janeiro, Brazil, September 3-6, 2007, pp. 165-170, 2007, ACM, 978-1-59593-816-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
edge routers, FPGA, reconfigurable logic |
21 | Pao-Ann Hsiung, Pin-Hsien Lu, Chih-Wen Liu |
Energy efficient co-scheduling in dynamically reconfigurable systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 5th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2007, Salzburg, Austria, September 30 - October 3, 2007, pp. 87-92, 2007, ACM, 978-1-59593-824-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
energy efficient, reconfigurable systems |
21 | Pierre Peterlongo, Laurent Noé, Dominique Lavenier, Gilles Georges, Julien Jacques, Gregory Kucherov, Mathieu Giraud |
Protein Similarity Search with Subset Seeds on a Dedicated Reconfigurable Hardware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPAM ![In: Parallel Processing and Applied Mathematics, 7th International Conference, PPAM 2007, Gdansk, Poland, September 9-12, 2007, Revised Selected Papers, pp. 1240-1248, 2007, Springer, 978-3-540-68105-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
subset seeds, FPGA, indexing, similarity search, reconfigurable architecture, sequence, dedicated hardware, spaced seeds |
21 | Avinash Karanth Kodi, Ahmed Louri |
Performance adaptive power-aware reconfigurable optical interconnects for high-performance computing (HPC) systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE Conference on High Performance Networking and Computing, SC 2007, November 10-16, 2007, Reno, Nevada, USA, pp. 6, 2007, ACM Press, 978-1-59593-764-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
high-performance computing (HPC), reconfigurable optical interconnects, performance modeling, power-aware |
21 | Mehdi Baradaran Tahoori |
Application-independent defect tolerance of reconfigurable nanoarchitectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM J. Emerg. Technol. Comput. Syst. ![In: ACM J. Emerg. Technol. Comput. Syst. 2(3), pp. 197-218, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
reconfigurable architectures, nanotechnology, Defect tolerance |
21 | José Luis Imaña, Juan Manuel Sánchez |
Efficient Reconfigurable Implementation of Canonical and Normal Basis Multipliers Over Galois Fields GF(2m) Generated by AOPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 42(3), pp. 285-296, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Galois field GF(2m), canonical basis, reconfigurable hardware, normal basis, bit-parallel multiplier |
21 | Ahmad Darabiha, W. James MacLean, Jonathan Rose |
Reconfigurable hardware implementation of a phase-correlation stereoalgorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mach. Vis. Appl. ![In: Mach. Vis. Appl. 17(2), pp. 116-132, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Stereo disparity estimation, Frame rate implementation, Reconfigurable hardware implementation, Field Programmable Gate Arrays (FPGAs), Phase correlation |
21 | Katarina Paulsson, Michael Hübner 0001, Markus Jung, Jürgen Becker 0001 |
Methods for Run-time Failure Recognition and Recovery in dynamic and partial Reconfigurable Systems Based on Xilinx Virtex-II Pro FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2006 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2006), 2-3 March 2006, Karlsruhe, Germany, pp. 159-166, 2006, IEEE Computer Society, 0-7695-2533-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Fault Tolerance, FPGA, Reconfigurable Architectures, Automotive, Organic Computing |
21 | Lotfi Mhamdi, Christopher Kachris, Stamatis Vassiliadis |
A reconfigurable hardware based embedded scheduler for buffered crossbar switches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 14th International Symposium on Field Programmable Gate Arrays, FPGA 2006, Monterey, California, USA, February 22-24, 2006, pp. 143-149, 2006, ACM, 1-59593-292-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
buffered crossbar fabric, scheduling, reconfigurable hardware |
21 | Kentaroh Katoh, Hideo Ito |
Built-In Self-Test for PEs of Coarse Grained Dynamically Reconfigurable Devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETS ![In: 11th European Test Symposium, ETS 2006, Southhampton, UK, May 21-24, 2006, pp. 69-74, 2006, IEEE Computer Society, 0-7695-2566-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Coarse Grained Dynamically Reconfigurable Devices, DRP, BIST(Built-In Self Test), PE, DFT |
21 | Woo Hyong Lee, Jung Han Kim |
H.264 Implementation with Embedded Reconfigurable Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIT ![In: Sixth International Conference on Computer and Information Technology (CIT 2006), 20-22 September 2006, Seoul, Korea, pp. 247, 2006, IEEE Computer Society, 0-7695-2687-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Parallelized Processing, Configurability, H.264, Reconfigurable Array |
21 | Hayden Kwok-Hay So, Artem Tkachenko, Robert W. Brodersen |
A unified hardware/software runtime environment for FPGA-based reconfigurable computers using BORPH. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2006, Seoul, Korea, October 22-25, 2006, pp. 259-264, 2006, ACM, 1-59593-370-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
hardware process, reconfigurable computers |
21 | Hyunchul Park 0001, Kevin Fan, Manjunath Kudlur, Scott A. Mahlke |
Modulo graph embedding: mapping applications onto coarse-grained reconfigurable architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2006 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2006, Seoul, Korea, October 22-25, 2006, pp. 136-146, 2006, ACM, 1-59593-543-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
graph embedding, modulo scheduling, coarse-grained reconfigurable architecture |
21 | C. Greg Plaxton, Yu Sun 0012, Mitul Tiwari, Harrick M. Vin |
Reconfigurable resource scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: SPAA 2006: Proceedings of the 18th Annual ACM Symposium on Parallelism in Algorithms and Architectures, Cambridge, Massachusetts, USA, July 30 - August 2, 2006, pp. 93-102, 2006, ACM, 1-59593-452-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
reconfigurable resource scheduling, online computation |
21 | Christoforos Kachris, Stamatis Vassiliadis |
Design of a web switch in a reconfigurable platform. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ANCS ![In: Proceedings of the 2006 ACM/IEEE Symposium on Architecture for Networking and Communications Systems, ANCS 2006, San Jose, California, USA, December 3-5, 2006, pp. 31-40, 2006, ACM, 1-59593-580-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
web switch, reconfigurable logic |
21 | Shobana Padmanabhan, Phillip H. Jones, David V. Schuehler, Scott J. Friedman, Praveen Krishnamurthy, Huakai Zhang, Roger D. Chamberlain, Ron Cytron, Jason E. Fritts, John W. Lockwood |
Extracting and Improving Microarchitecture Performance on Reconfigurable Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Parallel Program. ![In: Int. J. Parallel Program. 33(2-3), pp. 115-136, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
cycle-accurate hardware profiling, performance, architecture, Reconfigurable |
21 | Yuh-Rau Wang, Shi-Jinn Horng, Chin-Hsiung Wu |
Efficient Algorithms for the All Nearest Neighbor and Closest Pair Problems on the Linear Array with a Reconfigurable Pipelined Bus System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 16(3), pp. 193-206, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
LARPBS, reconfigurable bus model, Parallel algorithm, closest pair, all nearest neighbors |
21 | Cid C. de Souza, André M. Lima, Guido Araujo, Nahri Moreano |
The datapath merging problem in reconfigurable systems: Complexity, dual bounds and heuristic evaluation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM J. Exp. Algorithmics ![In: ACM J. Exp. Algorithmics 10, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Data path merging, heuristics, lower bounds, reconfigurable systems |
21 | Antonio Carlos Schneider Beck, Victor F. Gomes, Luigi Carro |
Exploiting Java through binary translation for low power embedded reconfigurable systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 18th Annual Symposium on Integrated Circuits and Systems Design, SBCCI 2005, Florianolpolis, Brazil, September 4-7, 2005, pp. 92-97, 2005, ACM. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
java, power consumption, binary translation, reconfigurable processors |
21 | Sharareh Babvey, Anu G. Bourgeois, José Alberto Fernández-Zepeda, Steven W. McLaughlin |
A Parallel Implementation of the Message-Passing Decoder of LDPC Codes Using a Reconfigurable Optical Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SNPD ![In: Proceedings of the 6th ACIS International Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing (SNPD 2005), May 23-25, 2005, Towson, Maryland, USA, pp. 288-293, 2005, IEEE Computer Society, 0-7695-2294-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
optical buses, message-passing decoder, Reconfigurable architectures, LDPC codes |
21 | Marco Lanuzza, Martin Margala, Pasquale Corsonello |
Cost-effective low-power processor-in-memory-based reconfigurable datapath for multimedia applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005, San Diego, California, USA, August 8-10, 2005, pp. 161-166, 2005, ACM, 1-59593-137-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
reconfigurable computing, datapath, processor-in-memory |
21 | Mihai Udrescu, Lucian Prodan, Mircea Vladutiu |
Improving quantum circuit dependability with reconfigurable quantum gate arrays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the Second Conference on Computing Frontiers, 2005, Ischia, Italy, May 4-6, 2005, pp. 133-144, 2005, ACM, 1-59593-019-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
accuracy threshold, reconfigurable quantum gate arrays, coding |
21 | Lu Yan, Zheng Liang |
Reconfigurable Computing in Ubiquitous Computers: A Roadmap. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDCAT ![In: Sixth International Conference on Parallel and Distributed Computing, Applications and Technologies (PDCAT 2005), 5-8 December 2005, Dalian, China, pp. 302-305, 2005, IEEE Computer Society, 0-7695-2405-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Reconfigurable, Embedded, Ubiquitous, Codesign, Roadmap |
21 | Hamid Fadishei, Morteza Saheb Zamani, Masoud Sabaei |
A novel reconfigurable hardware architecture for IP address lookup. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ANCS ![In: Proceedings of the 2005 ACM/IEEE Symposium on Architecture for Networking and Communications Systems, ANCS 2005, Princeton, New Jersey, USA, October 16-18, 2005, pp. 81-90, 2005, ACM, 1-59593-082-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
field-programmable gate array (FPGA), application specific integrated circuit (ASIC), hashing, reconfigurable hardware, longest prefix matching, IP address lookup |
21 | Amitava Datta |
Multiple Addition and Prefix Sum on a Linear Array with a Reconfigurable Pipelined Bus System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 29(3), pp. 303-317, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
pipelined bus, reconfigurable bus, matrix multiplication, addition, optical computing, prefix sum |
21 | Ali Ahmadinia, Christophe Bobda, Sándor P. Fekete, Jürgen Teich, Jan van der Veen |
Optimal Routing-Conscious Dynamic Placement for Reconfigurable Devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: Field Programmable Logic and Application, 14th International Conference , FPL 2004, Leuven, Belgium, August 30-September 1, 2004, Proceedings, pp. 847-851, 2004, Springer, 3-540-22989-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
field-programable gate array (FPGA), occupied space manager (OSM), routing-conscious placement, Manhattan metric, line sweep technique, optimal running time, lower bounds, Reconfigurable computing, module placement |