The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for reconfigurable with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1971-1980 (17) 1981-1983 (19) 1984-1985 (19) 1986 (15) 1987 (18) 1988 (32) 1989 (25) 1990 (42) 1991 (49) 1992 (53) 1993 (70) 1994 (73) 1995 (112) 1996 (124) 1997 (132) 1998 (218) 1999 (234) 2000 (382) 2001 (285) 2002 (477) 2003 (561) 2004 (661) 2005 (789) 2006 (1067) 2007 (976) 2008 (1061) 2009 (868) 2010 (883) 2011 (832) 2012 (732) 2013 (802) 2014 (786) 2015 (791) 2016 (704) 2017 (752) 2018 (793) 2019 (806) 2020 (922) 2021 (1159) 2022 (1243) 2023 (1212) 2024 (305)
Publication types (Num. hits)
article(7338) book(22) data(1) incollection(68) inproceedings(13188) phdthesis(411) proceedings(73)
Venues (Conferences, Journals, ...)
CoRR(917) FPL(904) ReConFig(900) ARC(699) ACM Trans. Reconfigurable Tech...(505) ReCoSoC(411) IEEE Access(378) FCCM(372) ERSA(343) IPDPS(303) ISCAS(285) Int. J. Reconfigurable Comput.(268) DATE(253) IEEE Trans. Very Large Scale I...(225) FPT(222) FPGA(200) More (+10 of total 2266)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 5390 occurrences of 2060 keywords

Results
Found 21101 publication records. Showing 21101 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
22Per Haglund, Oskar Mencer, Wayne Luk, Benjamin Tai PyHDL: Hardware Scripting with Python. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
22Tien-Lung Lee, Neil W. Bergmann An Interface Methodology for Retargettable FPGA Peripherals. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
22Timothy F. Oliver, Douglas L. Maskell Towards Run-Time Re-Configurable Techniques for Real-Time Embedded Applications. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
22Stephen Charlwood, Steven F. Quigley The Impact of Routing Architecture on Reconfiguration Overheads. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
22Cameron Patterson A Dynamic Module Server for Embedded Platform FPGAs. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
22William D. Smith, Austars R. Schnore Towards an RCC-Based Accelerator for Computational Fluid Dynamics Applications. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
22Kendra M. L. Cooper, Jia Zhou, Hui Ma 0006, I-Ling Yen, Farokh B. Bastani Code Parameterization for Satisfaction of QoS Requirements in Embedded Software. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
22Seong Yong Ohm, Ki-Yeol Ryu, Kang Yi Lower Bound Estimation on the Numbers of LUT Blocks and Micro-Registers for Time-Mulitplexed FPGA Synthesis. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
22A. P. Shanthi, Balaji Vijayan, Manivel Rajendran, Senthilkumar Veluswami, Ranjani Parthasarathi JBits Based Fault Tolerant Framework for Evolvable Hardware. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
22Maryam S. Mirian, Majid Nili Ahmadabadi, Babak Nadjar Araabi A Fault Tolerant Multi-Agent System with Non-Deterministic Decision-Making for Task Allocation. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
22Paul M. Heysters, Gerard J. M. Smit, Egbert Molenkamp Montium - Balancing between Energy-Efficiency, Flexibility and Performance. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
22Jong-Ru Guo, Chao You, Michael Chu, Kuan Zhou, Young Uk Yim, Robert W. Heikaus, Russell P. Kraft, John F. McDonald 0001 A Novel Multi-Speed, Power Saving Architecture for SiGe HBT FPGA. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
22Klaus Danne, Christophe Bobda, Heiko Kalte Increasing Efficiency by Partial Hardware Reconfiguration: Case Study of a Multi-Controller System. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
22Ronald Scrofano, Ju-wook Jang, Viktor K. Prasanna Energy-Efficient Discrete Cosine Transform on FPGAs. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
22Jing Ma, Peter Athanas A JBits-Based Incremental Design Environment with Non-Preemptive Refinement for Multi-Million Gate FPGAs. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
22Zhihong Zhao, Miriam Leeser Precision Modeling of Floating-Point Applications for Variable Bitwidth Computing. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
22Seong-Yong Ahn, Yo-Seop Hwang, Jae-Hong Shim, Jeong-A Lee Producer and Consumer: Roles of a Microprocessor and a Configurable Logic in a Configurable SoC. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
22S. Murat Bicer, Frank Pilhofer, Graham Bardouleau, Jeffrey Smith Next Generation Architecture for Heterogeneous Embedded Systems. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
22Oswaldo Cadenas, Graham M. Megson, Toomas P. Plaks FPGA Circuits for a Monte-Carlo Based Matrix Inversion Architecture. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
22Jonathan M. Gentle, Iyad A. Ajwa Draak: A Mulitlanguage Macro Compiler. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
22Jacir Luiz Bordim, Yasuaki Ito, Koji Nakano Instance-Specific Solutions to Accelerate the CKY Parsing. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
22Razak Mohammedali Altera FPGA Technology Provides Innovative Solutions for Evolving Market Needs. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
22Jürgen Becker 0001, Martin Vorbach PACT XPP Architecture in Adaptive System-on-Chip Integration. Search on Bibsonomy Engineering of Reconfigurable Systems and Algorithms The full citation details ... 2003 DBLP  BibTeX  RDF
21Mingjie Lin, Ilia A. Lebedev, John Wawrzynek High-throughput bayesian computing machine with reconfigurable hardware. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF reconfigurable hardware, bayesian computing
21Neil Gershenfeld, David Dalrymple, Kailiang Chen, Ara N. Knaian, Forrest Green, Erik D. Demaine, Scott Greenwald, Peter Schmidt-Nielsen Reconfigurable asynchronous logic automata: (RALA). Search on Bibsonomy POPL The full citation details ... 2010 DBLP  DOI  BibTeX  RDF reconfigurable, logic, asynchronous, automata
21Shahin Golshan, Eli Bozorgzadeh, Benjamin Carrión Schäfer, Kazutoshi Wakabayashi, Houman Homayoun, Alexander V. Veidenbaum Exploiting power budgeting in thermal-aware dynamic placement for reconfigurable systems. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF computer aided design, placement, dynamic reconfiguration, temperature, reconfigurable systems
21Ananth Nallamuthu, Melissa C. Smith, Scott S. Hampton, Pratul K. Agarwal, Sadaf R. Alam Energy efficient biomolecular simulations with FPGA-based reconfigurable computing. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF biomolecular simulations, lammps, fpga, reconfigurable computing, molecular dynamics
21Grigoris Dimitroulakos, Nikos Kostaras, Michalis D. Galanis, Costas E. Goutis Compiler assisted architectural exploration framework for coarse grained reconfigurable arrays. Search on Bibsonomy J. Supercomput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Coarse-grained reconfigurable arrays, High productivity tools, Modulo scheduling, Architectural exploration, Compiler techniques
21Kazuteru Namba, Yoshikazu Matsui, Hideo Ito Test Compression for IP Core Testing with Reconfigurable Network and Fixing-Flipping Coding. Search on Bibsonomy J. Electron. Test. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF IP core testing, Fixing-flipping coding, Fixing-shifting-flipping coding, Test compression, Reconfigurable network
21Taewook Oh, Bernhard Egger 0002, Hyunchul Park 0001, Scott A. Mahlke Recurrence cycle aware modulo scheduling for coarse-grained reconfigurable architectures. Search on Bibsonomy LCTES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF software pipelining, placement and routing, coarse-grained reconfigurable architectures
21Walid A. Najjar, Jason R. Villarreal Reconfigurable Computing in the New Age of Parallelism. Search on Bibsonomy SAMOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FPGAs, Reconfigurable computing
21Yanteng Sun, Peng Li 0031, Guochang Gu, Yuan Wen, Yuan Liu, Dong Liu HMMer acceleration using systolic array based reconfigurable architecture. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reconfigurable., systolic array, acceleration, hmmer
21Viviane Lucy Santos de Souza, Victor Wanderley Costa de Medeiros, Manoel Eusébio de Lima Architecture for dense matrix multiplication on a high-performance reconfigurable system. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF BRAMs (RAM blocks), MAC (multiplier unit), RASC (reconfigurable application-specific computing), performance, FPGA (field programmable gate array), parallelism, matrix multiplication, data reuse
21Caroline Concatto, Debora Matos, Luigi Carro, Fernanda Lima Kastensmidt, Altamiro Amadeu Susin, Érika F. Cota, Márcio Eduardo Kreutz Fault tolerant mechanism to improve yield in NoCs using a reconfigurable router. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reconfigurable router, fault tolerance, reliability, network-on-chip, NoC
21Jie Li 0004, Haibo He, Hong Man, Sachi Desai A General-Purpose FPGA-Based Reconfigurable Platform for Video and Image Processing. Search on Bibsonomy ISNN (3) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF video and image processing, edge detection, Reconfigurable system, FPGA design, image scaling
21Jonathan Hook, Stuart Taylor, Alex Butler, Nicolas Villar, Shahram Izadi A reconfigurable ferromagnetic input device. Search on Bibsonomy UIST The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ferromagnetic sensing, malleable surface, reconfigurable input device, tangibles, multi-touch
21Horng-Ren Tsai Parallel Algorithms for the Weighted Distance Transform on Linear Arrays with a Reconfigurable Pipelined Bus System. Search on Bibsonomy ICA3PP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF linear array with a reconfigurable pipelined bus system, parallel algorithms, image processing, Distance transform
21Shinya Kubota, Minoru Watanabe A nine-context programmable optically reconfigurable gate array with semiconductor lasers. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF holographic memory, optically reconfigurable gate arrays, field programmable gate arrays
21Henrique Cota de Freitas, Philippe Olivier Alexandre Navaux On the design of reconfigurable crossbar switch for adaptable on-chip topologies in programmable NoC routers. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF adaptable topologies, programmable NoC routers, networks-on-chip, reconfigurable computing, crossbar switch
21Yiqing Huang 0002, Qin Liu 0002, Satoshi Goto, Takeshi Ikenaga Reconfigurable SAD tree architecture based on adaptive sub-sampling in HDTV application. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reconfigurable architecture, h.264, vlsi
21Yoonjin Kim, Rabi N. Mahapatra Dynamic context management for low power coarse-grained reconfigurable architecture. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF context word, embedded systems, system-on-chip (soc), digital signal processing, coarse-grained reconfigurable architecture, configuration cache
21Xin Li 0020, Weikang Qian, Marc D. Riedel, Kia Bazargan, David J. Lilja A reconfigurable stochastic architecture for highly reliable computing. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF stochastic logic, reconfigurable architecture, reliable computing
21Zhiguo Ge, Tulika Mitra, Weng-Fai Wong A DVS-based pipelined reconfigurable instruction memory. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reconfigurable memory, low power, instruction cache
21Roger D. Chamberlain, Narayan Ganesan Sorting on architecturally diverse computer systems. Search on Bibsonomy HPRCTA@SC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF architecturally diverse systems, field-programmable gate arrays, sorting, reconfigurable hardware
21Jin Hwan Park, H. K. Dai 0001 Reconfigurable hardware solution to parallel prefix computation. Search on Bibsonomy J. Supercomput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Parallel prefix computation, Field-programmable gate arrays, Pipeline, Dataflow, Reconfigurable hardware
21Jie Tao 0001, Marcel Kunze, Fabian Nowak, Rainer Buchty, Wolfgang Karl Performance Advantage of Reconfigurable Cache Design on Multicore Processor Systems. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Simulation, Reconfigurable architecture, Multicore processor, Cache performance
21Tarek A. El-Ghazawi, Esam El-Araby, Miaoqing Huang, Kris Gaj, Volodymyr V. Kindratenko, Duncan A. Buell The Promise of High-Performance Reconfigurable Computing. Search on Bibsonomy Computer The full citation details ... 2008 DBLP  DOI  BibTeX  RDF HPRC systems, field-programmable gate arrays, high-performance computing, reconfigurable computing
21Hayden Kwok-Hay So, Robert W. Brodersen A unified hardware/software runtime environment for FPGA-based reconfigurable computers using BORPH. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF BORPH, hardware process, FPGA, reconfigurable computers, UNIX
21Nan Guan, Qingxu Deng, Zonghua Gu 0001, Wenyao Xu, Ge Yu 0001 Schedulability analysis of preemptive and nonpreemptive EDF on partial runtime-reconfigurable FPGAs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF reconfigurable devices, FPGA, Real-time scheduling
21Andreas Raabe, Philipp A. Hartmann, Joachim K. Anlauf ReChannel: Describing and simulating reconfigurable hardware in systemC. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF hardware description, simulation, refinement, dynamic reconfiguration, SystemC, Reconfigurable hardware
21Ioannis Sourdis, João Bispo, João M. P. Cardoso, Stamatis Vassiliadis Regular Expression Matching in Reconfigurable Hardware. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF network security, pattern matching, regular expression, reconfigurable hardware
21Ricardo Galán Hybrid Heuristic Approaches for Scheduling in Reconfigurable Manufacturing Systems. Search on Bibsonomy Metaheuristics for Scheduling in Industrial and Manufacturing Applications The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Reconfigurable Manufacturing Systems, Scheduling, Ant Colony Optimization, Tabu Search, Meta-heuristics
21Bjorn De Sutter, Paul Coene, Tom Vander Aa, Bingfeng Mei Placement-and-routing-based register allocation for coarse-grained reconfigurable arrays. Search on Bibsonomy LCTES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF register allocation, placement and routing, coarse-grained, reconfigurable arrays
21Hyunchul Park 0001, Kevin Fan, Scott A. Mahlke, Taewook Oh, Heeseok Kim, Hong-Seok Kim Edge-centric modulo scheduling for coarse-grained reconfigurable architectures. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF operand routing, programmable accelerator, software pipelining, coarse-grained reconfigurable architecture
21Monica Magalhães Pereira, Sílvio R. F. de Araújo, Bruno Cruz de Oliveira, Ivan Saraiva Silva Using traditional loop unrolling to fit application on a new hybrid reconfigurable architecture. Search on Bibsonomy SAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF stream-based, optimization, performance, reconfigurable architecture
21Gianmarco Baldini, Raimondo Giuliani, Dimitrios Symeonidis Reconfigurable Radio Systems for Public Safety Based on Low-Cost Platforms. Search on Bibsonomy EuroISI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF reconfigurable radio systems, security, SDR, public safety
21Didier Keymeulen, Adrian Stoica, Ricardo Salem Zebulum, Srinivas Katkoori, Pradeep Fernando, Hariharan Sankaran, Mohammad M. Mojarradi, Taher Daud Self-Reconfigurable Mixed-Signal Integrated Circuits Architecture Comprising a Field Programmable Analog Array and a General Purpose Genetic Algorithm IP Core. Search on Bibsonomy ICES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Self-Healing and Compensation, Self-reconfigurable, Field Programmable Analog Array
21Emilia Sipos, Lelia Festila, Gabriel Oltean Towards Reconfigurable Circuits Based on Ternary Controlled Analog Multiplexers/Demultiplexers. Search on Bibsonomy KES (3) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Analog multiplexer, reconfigurable circuit, transmission gate, CMOS transistors, SUS-LOC
21Ming Zhong, Mantian Li, Li-Ning Sun Tanbot: A Self-reconfigurable Robot Enhanced with Mobility. Search on Bibsonomy ICIRA (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF mobility, modularity, docking, Self-reconfigurable robot
21Ambrose Chu, Scott Miller, Mihai Sima Reconfigurable solutions for very-long arithmetic with applications in cryptography. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF field-programmable gate arrays, embedded systems, cryptography, reconfigurable computing
21Tzung-Rung Jung, Lan-Da Van, Wai-Chi Fang, Teng-Yao Sheu Reconfigurable Depth Buffer Compression Design for 3D Graphics System. Search on Bibsonomy MUE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF depth buffer compression, Reconfigurable, 3D graphics
21Hans G. Kerkhoff, Jarkko J. M. Huijts Testing of a Highly Reconfigurable Processor Core for Dependable Data Streaming Applications. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF reconfigurable multi-processor-cores SoC, embedded system test, dependable SoCs, ATPG, Design-for-Test, self-repair
21Nikolaos Vassiliadis, George Theodoridis, Spiridon Nikolaidis 0001 ARISE Machines: Extending Processors with Hybrid Accelerators. Search on Bibsonomy ARC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF reconfigurable instruction set processor, custom unit, FPGA, coprocessor
21Yang Cao, Hoda A. ElMaraghy, Ahmed Azab Reconfigurable Control Structure for Robots in Assembly. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Reconfigurable control, Supervisory Control Switching System, Robotic system
21Iván González 0004, Estanislao Aguayo, Sergio López-Buedo Self-Reconfigurable Embedded Systems on Low-Cost FPGAs. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF reconfigurable hardware, real-time and embedded systems, special-purpose and application-based systems, algorithms implemented in hardware
21Nicholas Moore, Albert Conti, Miriam Leeser, Laurie A. Smith King Vforce: An Extensible Framework for Reconfigurable Supercomputing. Search on Bibsonomy Computer The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Vforce framework, reconfigurable computing, Supercomputing
21Duncan A. Buell, Tarek A. El-Ghazawi, Kris Gaj, Volodymyr V. Kindratenko Guest Editors' Introduction: High-Performance Reconfigurable Computing. Search on Bibsonomy Computer The full citation details ... 2007 DBLP  DOI  BibTeX  RDF HPRCs, FPGAs, reconfigurable computing
21Gerald R. Morris, Viktor K. Prasanna Sparse Matrix Computations on Reconfigurable Hardware. Search on Bibsonomy Computer The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Jacobi method, FPGAs, reconfigurable computing, Conjugate gradient method
21Hsin-Wen Ting, Cheng-Wu Lin, Bin-Da Liu, Soon-Jyh Chang Oscillator-Based Reconfigurable Sinusoidal Signal Generator for ADC BIST. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Reconfigurable oscillator, Sinusoidal signal generator, Sigma-delta modulator
21Euripides Sotiriades, Apostolos Dollas A General Reconfigurable Architecture for the BLAST Algorithm. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FPGA BLAST, reconfigurable BLAST architecture, FPGA BLAST architecture, bioinformatic hardware, bioinformatic FPGA
21Angela L. Chiu, Gagan L. Choudhury, Robert D. Doverspike, Guangzhi Li Restoration Design in IP over Reconfigurable All-Optical Networks. Search on Bibsonomy NPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF IP-over-Optical, ULH, ROADM, reconfigurable all-optical network, wavelength service, shared mesh restoration, restoration overbuild, traffic engineering, fast reroute, IP service
21Tomoo Inoue, Takashi Fujii, Hideyuki Ichihara Optimal Contexts for the Self-Test of Coarse Grain Dynamically Reconfigurable Processors. Search on Bibsonomy ETS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Dynamically reconfigurable processors, optimal contexts, test frames, self-test, test application time
21Afshin Niktash, Hooman Parizi, Nader Bagherzadeh A Reconfigurable Processor for Forward Error Correction. Search on Bibsonomy ARCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Turbo, Forward Error Correction, Processing Element, Reconfigurable Processor, Viterbi
21Minoru Watanabe, Fuminori Kobayashi A 0.35um CMOS 1, 632-gate-count Zero-Overhead Dynamic Optically Reconfigurable Gate Array VLSI. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 0.35 micron, zero-overhead dynamic optically reconfigurable gate array VLSI, ZO-DORGA-VLSI, junction capacitance, photodiodes, load capacitance, configuration memory, CMOS process chip
21Christoforos Kachris, Stamatis Vassiliadis A reconfigurable platform for multi-service edge routers. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF edge routers, FPGA, reconfigurable logic
21Pao-Ann Hsiung, Pin-Hsien Lu, Chih-Wen Liu Energy efficient co-scheduling in dynamically reconfigurable systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF energy efficient, reconfigurable systems
21Pierre Peterlongo, Laurent Noé, Dominique Lavenier, Gilles Georges, Julien Jacques, Gregory Kucherov, Mathieu Giraud Protein Similarity Search with Subset Seeds on a Dedicated Reconfigurable Hardware. Search on Bibsonomy PPAM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF subset seeds, FPGA, indexing, similarity search, reconfigurable architecture, sequence, dedicated hardware, spaced seeds
21Avinash Karanth Kodi, Ahmed Louri Performance adaptive power-aware reconfigurable optical interconnects for high-performance computing (HPC) systems. Search on Bibsonomy SC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF high-performance computing (HPC), reconfigurable optical interconnects, performance modeling, power-aware
21Mehdi Baradaran Tahoori Application-independent defect tolerance of reconfigurable nanoarchitectures. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF reconfigurable architectures, nanotechnology, Defect tolerance
21José Luis Imaña, Juan Manuel Sánchez Efficient Reconfigurable Implementation of Canonical and Normal Basis Multipliers Over Galois Fields GF(2m) Generated by AOPs. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Galois field GF(2m), canonical basis, reconfigurable hardware, normal basis, bit-parallel multiplier
21Ahmad Darabiha, W. James MacLean, Jonathan Rose Reconfigurable hardware implementation of a phase-correlation stereoalgorithm. Search on Bibsonomy Mach. Vis. Appl. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Stereo disparity estimation, Frame rate implementation, Reconfigurable hardware implementation, Field Programmable Gate Arrays (FPGAs), Phase correlation
21Katarina Paulsson, Michael Hübner 0001, Markus Jung, Jürgen Becker 0001 Methods for Run-time Failure Recognition and Recovery in dynamic and partial Reconfigurable Systems Based on Xilinx Virtex-II Pro FPGAs. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Fault Tolerance, FPGA, Reconfigurable Architectures, Automotive, Organic Computing
21Lotfi Mhamdi, Christopher Kachris, Stamatis Vassiliadis A reconfigurable hardware based embedded scheduler for buffered crossbar switches. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF buffered crossbar fabric, scheduling, reconfigurable hardware
21Kentaroh Katoh, Hideo Ito Built-In Self-Test for PEs of Coarse Grained Dynamically Reconfigurable Devices. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Coarse Grained Dynamically Reconfigurable Devices, DRP, BIST(Built-In Self Test), PE, DFT
21Woo Hyong Lee, Jung Han Kim H.264 Implementation with Embedded Reconfigurable Architecture. Search on Bibsonomy CIT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Parallelized Processing, Configurability, H.264, Reconfigurable Array
21Hayden Kwok-Hay So, Artem Tkachenko, Robert W. Brodersen A unified hardware/software runtime environment for FPGA-based reconfigurable computers using BORPH. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hardware process, reconfigurable computers
21Hyunchul Park 0001, Kevin Fan, Manjunath Kudlur, Scott A. Mahlke Modulo graph embedding: mapping applications onto coarse-grained reconfigurable architectures. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF graph embedding, modulo scheduling, coarse-grained reconfigurable architecture
21C. Greg Plaxton, Yu Sun 0012, Mitul Tiwari, Harrick M. Vin Reconfigurable resource scheduling. Search on Bibsonomy SPAA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF reconfigurable resource scheduling, online computation
21Christoforos Kachris, Stamatis Vassiliadis Design of a web switch in a reconfigurable platform. Search on Bibsonomy ANCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF web switch, reconfigurable logic
21Shobana Padmanabhan, Phillip H. Jones, David V. Schuehler, Scott J. Friedman, Praveen Krishnamurthy, Huakai Zhang, Roger D. Chamberlain, Ron Cytron, Jason E. Fritts, John W. Lockwood Extracting and Improving Microarchitecture Performance on Reconfigurable Architectures. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cycle-accurate hardware profiling, performance, architecture, Reconfigurable
21Yuh-Rau Wang, Shi-Jinn Horng, Chin-Hsiung Wu Efficient Algorithms for the All Nearest Neighbor and Closest Pair Problems on the Linear Array with a Reconfigurable Pipelined Bus System. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF LARPBS, reconfigurable bus model, Parallel algorithm, closest pair, all nearest neighbors
21Cid C. de Souza, André M. Lima, Guido Araujo, Nahri Moreano The datapath merging problem in reconfigurable systems: Complexity, dual bounds and heuristic evaluation. Search on Bibsonomy ACM J. Exp. Algorithmics The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Data path merging, heuristics, lower bounds, reconfigurable systems
21Antonio Carlos Schneider Beck, Victor F. Gomes, Luigi Carro Exploiting Java through binary translation for low power embedded reconfigurable systems. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF java, power consumption, binary translation, reconfigurable processors
21Sharareh Babvey, Anu G. Bourgeois, José Alberto Fernández-Zepeda, Steven W. McLaughlin A Parallel Implementation of the Message-Passing Decoder of LDPC Codes Using a Reconfigurable Optical Model. Search on Bibsonomy SNPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF optical buses, message-passing decoder, Reconfigurable architectures, LDPC codes
21Marco Lanuzza, Martin Margala, Pasquale Corsonello Cost-effective low-power processor-in-memory-based reconfigurable datapath for multimedia applications. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF reconfigurable computing, datapath, processor-in-memory
21Mihai Udrescu, Lucian Prodan, Mircea Vladutiu Improving quantum circuit dependability with reconfigurable quantum gate arrays. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF accuracy threshold, reconfigurable quantum gate arrays, coding
21Lu Yan, Zheng Liang Reconfigurable Computing in Ubiquitous Computers: A Roadmap. Search on Bibsonomy PDCAT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Reconfigurable, Embedded, Ubiquitous, Codesign, Roadmap
21Hamid Fadishei, Morteza Saheb Zamani, Masoud Sabaei A novel reconfigurable hardware architecture for IP address lookup. Search on Bibsonomy ANCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF field-programmable gate array (FPGA), application specific integrated circuit (ASIC), hashing, reconfigurable hardware, longest prefix matching, IP address lookup
21Amitava Datta Multiple Addition and Prefix Sum on a Linear Array with a Reconfigurable Pipelined Bus System. Search on Bibsonomy J. Supercomput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF pipelined bus, reconfigurable bus, matrix multiplication, addition, optical computing, prefix sum
21Ali Ahmadinia, Christophe Bobda, Sándor P. Fekete, Jürgen Teich, Jan van der Veen Optimal Routing-Conscious Dynamic Placement for Reconfigurable Devices. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF field-programable gate array (FPGA), occupied space manager (OSM), routing-conscious placement, Manhattan metric, line sweep technique, optimal running time, lower bounds, Reconfigurable computing, module placement
Displaying result #701 - #800 of 21101 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license