The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for silicon with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1967 (15) 1968-1978 (17) 1979-1982 (16) 1983-1984 (21) 1985 (26) 1986 (16) 1987 (23) 1988 (26) 1989 (32) 1990 (50) 1991 (43) 1992 (24) 1993 (40) 1994 (33) 1995 (56) 1996 (44) 1997 (77) 1998 (106) 1999 (116) 2000 (117) 2001 (156) 2002 (164) 2003 (258) 2004 (249) 2005 (338) 2006 (551) 2007 (802) 2008 (409) 2009 (295) 2010 (207) 2011 (290) 2012 (258) 2013 (438) 2014 (514) 2015 (382) 2016 (374) 2017 (597) 2018 (481) 2019 (351) 2020 (356) 2021 (349) 2022 (355) 2023 (342) 2024 (54)
Publication types (Num. hits)
article(2868) book(10) data(3) incollection(34) inproceedings(6355) phdthesis(171) proceedings(27)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2164 occurrences of 1285 keywords

Results
Found 9468 publication records. Showing 9468 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12André Seznec, Eric Toullec, Olivier Rochecouste Register write specialization register read specialization: a path to complexity-effective wide-issue superscalar processors. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Paul Master The Age of Adaptive Computing Is Here. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Alyssa B. Apsel, Andreas G. Andreou, J. Liu A 6 channel array of 5 milliwatt, 500 MHz optical receivers in .5 μm SOS CMOS. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Salvatore Baglio, Salvatore Castorina, Luigi Fortuna, Nicolò Savalli Development of autonomous, mobile micro-electro-mechanical devices. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Akihiko Hanafusa, Tsuneshi Isomura, Yukio Sekiguchi, Hajime Takahashi, Takeyoshi Dohi Contact Force Evaluation of Orthoses for the Treatment of Malformed Ears. Search on Bibsonomy MICCAI (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Eric W. MacDonald, Nur A. Touba Very Low Voltage Testing of SOI Integrated Circuits. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Sreejit Chakravarty, Ankur Jain, Nandakumar Radhakrishnan, Eric W. Savage, Sujit T. Zachariah Experimental Evaluation of Scan Tests for Bridges. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Subir K. Roy, S. Ramesh, Supratik Chakraborty, Tsuneo Nakata, Sreeranga P. Rajan Functional Verification of System on Chips-Practices, Issues and Challenges (Tutorial Abstract). Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Mike Brunoli, Masao Hotta, Felicia James, Rudy Koch, Roy McGuffin, Andrew J. Moore Analog intellectual property: now? Or never? Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Tom J. Smy, David J. Walkey, Steven K. Dew A 3D thermal simulation tool for integrated devices-Atar. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Martin R. Frerichs Precise extraction of ultra deep submicron interconnect parasitics with parameterizable 3D-modeling: invited talk. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Mahmoud Al-Nsour, Hoda S. Abdel-Aty-Zohdy MOS fully analog reinforcement neural network chip. Search on Bibsonomy ISCAS (3) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Martin Huja, Miroslav Husák Thermal Microactuators for Optical Purpose. Search on Bibsonomy ITCC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Pradip Bose Testing for Function and Performance: Towards an Integrated Processor Validation Methodology. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF performance test cases, bounds modeling, performance validation, integrated methodology, test generation, microprocessor testing
12Gaye Lightbody, Richard L. Walke, Roger F. Woods, John V. McCanny Linear QR Architecture for a Single Chip Adaptive Beamformer. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Dennis W. Prather Three Dimensional VLSI-Scale Interconnects. Search on Bibsonomy IPDPS Workshops The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Dan W. Hammerstrom Computational Neurobiology Meets Semiconductor Engineering. Search on Bibsonomy ISMVL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Michael Reinhardt, Michael Santarini What is Design Quality? How can Quality in Electronic Design be Quantified? Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Kwan-Do Kim, Young-Kwan Park, Jun-Ha Lee, Jeong-Taek Kong, Hee-Sung Kang, Young-Wug Kim, Seok-Jin Kim Three Dimensional Analysis of Thermal Degradation Effects in FDSOI MOSFET's. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF FDSOI, self-heating, finger type, bar type
12Rajiv V. Joshi, Wei Hwang, S. C. Wilson, Ghavam V. Shahidi, Ching-Te Chuang A Low Power 900 MHz Register File (8 Ports, 32 Words x 64 Bits) in 1.8V, 0.25µm SOI Technology. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Paul Lieverse, Ed F. Deprettere, Bart Kienhuis, Erwin A. de Kock A Clustering Approach to Explore Grain-Sizes in the Definition of Processing Elements in Dataflow Architectures. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Hugo De Man System-on-Chip Design: Impact on Education and Research. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Terry Thomas Technology for IP Reuse and Portability. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Shung-Shing Lee, Shi-Jinn Horng, Horng-Ren Tsai Entropy thresholding and its parallel algorithm on the reconfigurable array of processors with wider bus networks. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Ivo Bolsens, Wojtek Maly, Ludo Deferm, Jo Borel, Harry J. M. Veendrick Single Chip or Hybrid System Integration. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Jean-François Agaësse, Bernard Laurent Virtual Components Application and Customization. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Werner De Rammelaere, K. Eckert, T. Lawell, Ralph McGarity, F. Steininger, Patricia Le Moenner, E. Hilkens Catalyst: A DSIP Design Flow Development in Industry. Search on Bibsonomy ISSS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Tolga Yalçin, Neslin Ismailoglu Design of a fully-static differential low-power CMOS flip-flop. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Luca Breveglieri, Luigi Dadda A VLSI inner product macrocell. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Roger DuBois A Biologically Inspired Object Tracking System. Search on Bibsonomy ICES The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12A. Castillejo, D. Veychard, Salvador Mir, Jean-Michel Karam, Bernard Courtois Failure mechanisms and fault classes for CMOS-compatible microelectromechanical systems. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Mario Paniccia, Travis M. Eiles, V. R. M. Rao, Wai Mun Yee Novel optical probing technique for flip chip packaged microprocessors. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Jainendra Kumar Prototyping the M68060 for Concurrent Verification. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
12Ranjit Gharpurey, Srinath Hosur Transform domain techniques for efficient extraction of substrate parasitics. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Green Function, orthonormal transforms, parasitics, substrate coupling
12KiJong Lee, Kiyoung Choi Self-timed divider based on RSD number system. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
12Colin Chiu Wing Hui, Tiong Jiu Ding, John V. McCanny, Roger F. Woods A New FFT Architecture and Chip Design for Motion Compensation based on Phase Correlation. Search on Bibsonomy ASAP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
12Mani B. Srivastava, Robert W. Brodersen System level hardware module generation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
12Mark E. Law Grid adaption near moving boundaries in two dimensions for IC process simulation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
12Hyeong-Kyo Kim, Thomas P. Barnwell III A design synthesis system for recursive DSP algorithms represented by fully specified flow graphs. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
12M. Karim Moallemi, Hui Zhang A general numerical procedure for multilayer multistep IC process simulation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
12Yuping He, Ugur Çilingiroglu, Edgar Sánchez-Sinencio A high-density and low-power charge-based Hamming network. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
12Vijay Nagasamy, Neerav Berry, Carlos Dangelo Specification, Planning, and Synthesis in a VHDL Design Environment. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
12Richard B. Fair, Carl L. Gardner, Michael J. Johnson, Stephen W. Kenkel, Donald J. Rose, J. E. Rose, Ravi Subrahmanyan Two-dimensional process simulation using verified phenomenological models. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
12Stephen Walters Computer-Aided Prototyping for ASIC-Based Systems. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
12Kenji Taniguchi 0001, Yoshiaki Shibata, Chihiro Hamaguchi Process modeling and simulation: boundary conditions for point defect-based impurity diffusion model. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
12Jef L. van Meerbergen, Jos Huisken, Paul E. R. Lippens, O. McArdle, R. Segers, Gert Goossens, Jan Vanhoof, Dirk Lanneer, Francky Catthoor, Hugo De Man An integrated automatic design system for complex DSP algorithms. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
12Martin D. Giles Defect-coupled diffusion at high concentrations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
12Chin-Long Wey On yield consideration for the design of redundant programmable logic arrays. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
12Chin-Long Wey On Yield Consideration for the Design of Redundant Programmable Logic Arrays. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
12Roger Segelken A Case History of a Computer Media Event - Introducing a Supercomputer Center. Search on Bibsonomy SIGDOC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
12Dwight D. Hill, John P. Fishburn, Mary Diane Palmer Leland Effective use of virtual grid compaction in macro-module generators. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
12David J. Elliott How smart the computer: status and future on building its brain. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
12Tony Zingale Distributed processing with iAPX 186 microprocessor systems. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
12Bill Huston Practical CMOS microprocessor systems. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
12Randy M. Dumse Expanded single-chip principles in practical application. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
9Sidharth Thomas, Sam Razavian, Jaskirat Singh Virdi, Wei Sun, Benyamin Fallahi Motlagh, Aydin Babakhani A 400-GHz Efficient Radiator and OOK Transceiver for Multi-Gb/s Wireless Communication in Silicon. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Loïc France, Florent Bruguier, David Novo, Maria Mushtaq, Pascal Benoit Reducing the Silicon Area Overhead of Counter-Based Rowhammer Mitigations. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Jani Babu Shaik, Siona Menezes Picardo, Sonal Singhal, Nilesh Goel Reliability-aware design of Integrate-and-Fire silicon neurons. Search on Bibsonomy Integr. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Shih-Nung Chen, Shi-Hao Chen Application of Generative Adversarial Networks for Virtual Silicon Data Generation and Design-Technology Co-Optimization: A Study on WAT and CP. Search on Bibsonomy IEEE Access The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Sourav Dev, Karanveer Singh, Mircea Catuneanu, Hrishikesh Vithalani, Abhinand Venugopalan, Janosch Meier, Thomas Schneider 0010, Kambiz Jamshidi Energy-Efficient Forward-Biased PIN Silicon Mach-Zehnder Modulator With 50 μm Active Phase Shifter Length. Search on Bibsonomy IEEE Access The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Haihua Wang, Zekun Zhao, Qiumeng Chen, Yu-Long Jiang, Jing Wan Back-Gate Fully Depleted Silicon-on-Insulator P-Channel Schottky Barrier MOSFET With Ultrahigh Voltage Sensitivity for Label-Free Virus RNA Detection. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Zhongzhi Jiang, Guanfeng Du, Ziwei Song, Shengxian Cao, Gong Wang, Le Ma Electromagnetic Method for Detecting Black Piece on Monocrystalline Silicon Photovoltaic Panels. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Jie Xu, Chu-Ming Guo, Cheng Yang, Hai-Feng Zhang Theoretical Study of a Porous Silicon Cavity Based on Second Harmonic Waves Formed by Ferroelectric Crystals for Wide Range Multiphysical and Chemical Measurement. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Kai Wang, Ying Zhang, Chaoyong Wang, Jun Zhao, Le Liu, Jiaye Chen, Yarui Wang Discovery of a series of silicon-based ferrimagnets in CrMnSin (n = 4-20) clusters. Search on Bibsonomy J. Comput. Chem. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Zhaohui Jiang 0001, Ke Jiang, Yongfang Xie, Dong Pan, Weihua Gui 0001 A Cooperative Silicon Content Dynamic Prediction Method With Variable Time Delay Estimation in the Blast Furnace Ironmaking Process. Search on Bibsonomy IEEE Trans. Ind. Informatics The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Sergey Lychev, Alexander Digilov, Gleb Demin, Evgeney Gusev, Ivan Kushnarev, Nikolay Djuzhev, Vladimir Bespalov Deformations of Single-Crystal Silicon Circular Plate: Theory and Experiment. Search on Bibsonomy Symmetry The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Vishal Saxena, Ankur Kumar, Shubham Mishra, Samuel Palermo, Kadaba R. Lakshmikumar Optical Interconnects Using Hybrid Integration of CMOS and Silicon-Photonic ICs. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Dadasikandar Kanekal, Sumit Kumar Jindal Investigation of MEMS Single Turn Meander-Shaped Silicon Carbide Piezoresistive Pressure Sensor on a Clamped Circular Diaphragm for High Pressure Harsh Environment Applications. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Prince Philip, Kapil Jainwal, André van Schaik, Chetan Singh Thakur Tau-Cell-Based Analog Silicon Retina With Spatio- Temporal Filtering and Contrast Gain Control. Search on Bibsonomy IEEE Trans. Biomed. Circuits Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Yanan Tao, Chao Liang, Ziqi Mei, Zhiqiang Song, Yu Wu, Yunna Sun, Wenqiang Zhang, Yong Ruan, Xiaoguang Zhao Numerical simulation of copper electrodeposition for Through Silicon Via (TSV) with SPS-PEG-Cl additive system. Search on Bibsonomy Microelectron. J. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Lei Tang 0002, Kangrong Li, Xingshe Zhou 0001, Qiao Yang, Penghui Pan, Daowei Wu, Baoxia Li, Yanling Wang, Nailiang Kuang, Liaoliao Zhang Analytical equivalent circuit modeling and analysis of complex BGA for 3D silicon-interposer packaging. Search on Bibsonomy Microelectron. J. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Venkata Sai Praneeth Karempudi, Sairam Sri Vatsavai, Ishan G. Thakkar, Oluwaseun Adewunmi Alo, Jeffrey Todd Hastings, Justin Scott Woods A Low-Dissipation and Scalable GEMM Accelerator with Silicon Nitride Photonics. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Salma Afifi, Febin Sunny, Mahdi Nikdast, Sudeep Pasricha Accelerating Neural Networks for Large Language Models and Graph Processing with Silicon Photonics. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Michael Tomlinson, Joe Li, Andreas Andreou Designing Silicon Brains using LLM: Leveraging ChatGPT for Automated Description of a Spiking Neuron Array. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Rui Tang, Shuhei Ohno, Ken Tanizawa, Kazuhiro Ikeda, Makoto Okano, Kasidit Toprasertpong, Shinichi Takagi, Mitsuru Takenaka A symmetric silicon microring resonator optical crossbar array for accelerated inference and training in deep learning. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Velat Kilic, Neil Macfarlane, Jasper Stround, Samuel Metais, Milad Alemohammad, A. Brinton Cooper, Amy C. Foster, Mark A. Foster Machine Learning Resistant Amorphous Silicon Physically Unclonable Functions (PUFs). Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Elias Perdomo, Alexander Kropotov, Francelly Cano, Syed Zafar, Teresa Cervero, Xavier Martorell, Behzad Salami 0001 Makinote: An FPGA-Based HW/SW Platform for Pre-Silicon Emulation of RISC-V Designs. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Tzu-Chien Hsueh, Yeshaiahu Fainman, Bill Lin 0001 Monolithic Silicon-Photonics Linear-Algebra Accelerators Enabling Next-Gen Massive MIMO. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Naoto Sato, Tomonori Sekiguchi, Takeru Utsugi, Hiroyuki Mizuno Generating Shuttling Procedures for Constrained Silicon Quantum Dot Array. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Philipp Schoenegger, Indre Tuminauskaite, Peter S. Park, Philip E. Tetlock Wisdom of the Silicon Crowd: LLM Ensemble Prediction Capabilities Rival Human Crowd Accuracy. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Raphael Schoof, Giuseppe Fabian Castelli, Willy Dörfler Comparison of Different Elastic Strain Definitions for Largely Deformed SEI of Chemo-Mechanically Coupled Silicon Battery Particles. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Tengji Xu, Weipeng Zhang, Jiawei Zhang, Zeyu Luo, Qiarong Xiao, Benshan Wang, Mingcheng Luo, Xingyuan Xu, Bhavin J. Shastri, Paul R. Prucnal, Chaoran Huang 0004 Control-free and efficient silicon photonic neural networks via hardware-aware training and pruning. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Seungjong Sun, Eungu Lee, Dongyan Nan, Xiangying Zhao, Wonbyung Lee, Bernard J. Jansen, Jang-Hyun Kim Random Silicon Sampling: Simulating Human Sub-Population Opinion Using a Large Language Model Based on Group-Level Demographic Information. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Febin Sunny, Ebadollah Taheri, Mahdi Nikdast, Sudeep Pasricha Silicon Photonic 2.5D Interposer Networks for Overcoming Communication Bottlenecks in Scale-out Machine Learning Hardware Accelerators. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Zhenguo Wu, Liang Yuan Dai, Yuyang Wang 0003, Songli Wang, Keren Bergman Flexible silicon photonic architecture for accelerating distributed deep learning. Search on Bibsonomy J. Opt. Commun. Netw. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Yanbin Li, Jiajie Zhu, Zhe Liu 0001, Ming Tang 0002, Shougang Ren Deep Learning Gradient Visualization-Based Pre-Silicon Side-Channel Leakage Location. Search on Bibsonomy IEEE Trans. Inf. Forensics Secur. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Neda Khiabani, Ching-Wen Chiang, Nai-Chen Liu, Pai-Yen Chen, Yen-Cheng Kuan, Chung-Tse Michael Wu Metamaterial-Enabled Ultrawideband mmWave Antenna-in-Package Using Heterogeneously-Integrated Silicon IPD and HDI-PCB for B5G/ 6G Applications. Search on Bibsonomy IEEE J. Emerg. Sel. Topics Circuits Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9José Antonio Dueñas, Alex Cobo, Franco Galtarossa, Alain Goasduff, Daniele Mengoni, Ángel Miguel Sánchez Benítez Energy Resolution from a Silicon Detector's Interstrip Regions. Search on Bibsonomy Sensors The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Lukas Brinkmann, Erika Garutti, Stephan Martens, Joern Schwandt Correcting the Non-Linear Response of Silicon Photomultipliers. Search on Bibsonomy Sensors The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Jun Zhao, Tao Long, Mingyang Wang, Manwen Liu, Minghua Tang, Zheng Li Design and 3D Electrical Simulations for a Controllable Equal-Gap Large-Area Silicon Drift Detector. Search on Bibsonomy Sensors The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Adam Wawerski, Barbara Siemiatkowska, Michal Józwik, Bartlomiej Fajdek, Malgorzata Partyka Machine Learning Method and Hyperspectral Imaging for Precise Determination of Glucose and Silicon Levels. Search on Bibsonomy Sensors The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Maria Carulla, Rebecca Barten, Filippo Baruffaldi, Anna Bergamaschi, Giacomo Borghi, Maurizio Boscardin, Martin Brückner, Tim A. Butcher, Matteo Centis Vignali, Roberto Dinapoli, Simon Ebner, Francesco Ficorella, Erik Fröjdh, Dominic Greiffenberg, Omar Hammad Ali, Shqipe Hasanaj, Julian Heymes, Viktoria Hinger, Thomas King, Pawel Kozlowski, Carlos Lopez Cuenca, Davide Mezza, Konstantinos Moustakas, Aldo Mozzanica, Giovanni Paternoster, Kirsty A. Paton, Sabina Ronchin, Christian Ruder, Bernd Schmitt, Patrick Sieberer, Dhanya Thattil, Konrad Vogelsang, Xiangyu Xie, Jiaguo Zhang Quantum Efficiency Measurement and Modeling of Silicon Sensors Optimized for Soft X-ray Detection. Search on Bibsonomy Sensors The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Diego Real, David Calvo, Juan de Dios Zornoza, Mario Manzaneda, Rebecca Gozzini, Carlos Ricolfe-Viala, Rafael Lajara, Francisco Albiol Fast Coincidence Filter for Silicon Photomultiplier Dark Count Rate Rejection. Search on Bibsonomy Sensors The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Loic Laplatine, Sonia Messaoudene, Nicolas Gaignebet, Cyril Herrier, Thierry Livache Correction of 2π Phase Jumps for Silicon Photonic Sensors Based on Mach Zehnder Interferometers with Application in Gas and Biosensing. Search on Bibsonomy Sensors The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Kaiwei Lu, Beiju Huang, Xiaoqing Lv, Zan Zhang, Zhengtai Ma Ultrasensitive Silicon Photonic Refractive Index Sensor Based on Hybrid Double Slot Subwavelength Grating Microring Resonator. Search on Bibsonomy Sensors The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Min-Su Kim, Sang-Sun Yoo Low-Noise Amplifier with Bypass for 5G New Radio Frequency n77 Band and n79 Band in Radio Frequency Silicon on Insulator Complementary Metal-Oxide Semiconductor Technology. Search on Bibsonomy Sensors The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Hyunchul Sagong, Seongcheol Jeong, Hojoon Lee Analysis of Failure Mechanism and Reliability Enhancement of Silicon Strain Gauge-Based Pressure Sensor for Automotive Applications. Search on Bibsonomy Sensors The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Yu-Yu Liu, Lingxia Mu, Peng-Ju Zhang, Ding Liu Research on Classification Algorithm of Silicon Single-Crystal Growth Temperature Gradient Trend Based on Multi-Level Feature Fusion. Search on Bibsonomy Sensors The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Changhee Kim, Seungju Han, Taehwan Kim, Sangmin Lee 0002 Implantable pH Sensing System Using Vertically Stacked Silicon Nanowire Arrays and Body Channel Communication for Gastroesophageal Reflux Monitoring. Search on Bibsonomy Sensors The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Massimo Caccia, Agnese Giaz, Marco Galoppo, Romualdo Santoro, Micheal Martyn, Carla Bianchi, Raffaele Novario, Peter Woulfe, Sinead O'Keeffe Characterisation of a Silicon Photomultiplier Based Oncological Brachytherapy Fibre Dosimeter. Search on Bibsonomy Sensors The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 9468 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license