The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for sylvester with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1969-1992 (22) 1993-1996 (22) 1997-1999 (19) 2000-2001 (32) 2002 (28) 2003 (31) 2004 (51) 2005 (64) 2006 (55) 2007 (56) 2008 (55) 2009 (37) 2010 (63) 2011 (58) 2012 (66) 2013 (67) 2014 (69) 2015 (77) 2016 (69) 2017 (79) 2018 (80) 2019 (64) 2020 (74) 2021 (64) 2022 (88) 2023 (74) 2024 (26)
Publication types (Num. hits)
article(851) book(1) incollection(7) inproceedings(625) phdthesis(4) proceedings(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 301 occurrences of 189 keywords

Results
Found 1579 publication records. Showing 1490 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
20Ahmed Asif Shaik, Nkgatho Sylvester Tlale, Glen Bright Parallel robot design incorporating a direct end effector sensing system. Search on Bibsonomy Int. J. Intell. Syst. Technol. Appl. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Bo Zhai, Scott Hanson, David T. Blaauw, Dennis Sylvester A Variation-Tolerant Sub-200 mV 6-T Subthreshold SRAM. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Scott Hanson, Bo Zhai, Mingoo Seok, Brian Cline, Kevin Zhou, Meghna Singhal, Michael Minuth, Javin Olson, Leyla Nazhandali, Todd M. Austin, Dennis Sylvester, David T. Blaauw Exploring Variability and Performance in a Sub-200-mV Processor. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Dennis Sylvester, Kanak Agarwal, Saumil Shah Variability in nanometer CMOS: Impact, analysis, and minimization. Search on Bibsonomy Integr. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Prashant Singh, Jae-sun Seo, David T. Blaauw, Dennis Sylvester Self-Timed Regenerators for High-Speed and Low-Power On-Chip Global Interconnect. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Eric Karl, David T. Blaauw, Dennis Sylvester, Trevor N. Mudge Multi-Mechanism Reliability Modeling and Management in Dynamic Systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Petroc Sumner, Elaine Anderson, Richard Sylvester, John-Dylan Haynes, Geraint Rees 0001 Combined orientation and colour information in human V1 for both L-M and S-cone chromatic axes. Search on Bibsonomy NeuroImage The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Lassi Päivärinta, John Sylvester 0002 Transmission Eigenvalues. Search on Bibsonomy SIAM J. Math. Anal. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Sarvesh H. Kulkarni, Dennis Sylvester, David T. Blaauw Design-Time Optimization of Post-Silicon Tuned Circuits Using Adaptive Body Bias. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Chong-Fatt Law, Bah-Hwee Gwee, Joseph Sylvester Chang Asynchronous Control Network Optimization Using Fast Minimum-Cycle-Time Analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Ashish Srivastava, Kaviraj Chopra, Saumil Shah, Dennis Sylvester, David T. Blaauw A Novel Approach to Perform Gate-Level Yield Analysis and Optimization Considering Correlated Variations in Power and Performance. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Wei Shu, Joseph Sylvester Chang THD of Closed-Loop Analog PWM Class-D Amplifiers. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Tong Ge, Joseph Sylvester Chang Modeling and Technique to Improve PSRR and PS-IMD in Analog PWM Class-D Amplifiers. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Ronald G. Dreslinski, Gregory K. Chen, Trevor N. Mudge, David T. Blaauw, Dennis Sylvester, Krisztián Flautner Reconfigurable energy efficient near threshold cache architectures. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Matthew R. Guthaus, Dennis Sylvester, Richard B. Brown Clock tree synthesis with data-path sensitivity matching. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Puneet Gupta 0001, Andrew B. Kahng, Youngmin Kim, Saumil Shah, Dennis Sylvester Investigation of diffusion rounding for post-lithography analysis. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Allan Sylvester, Mary Tate Beyond the "Mythical Centre": An Affirmative Post-Modern View of SERVQUAL Research in Information Systems. Search on Bibsonomy ECIS The full citation details ... 2008 DBLP  BibTeX  RDF
20Vivek Joshi, Brian Cline, Dennis Sylvester, David T. Blaauw, Kanak Agarwal Stress aware layout optimization. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Yoonmyung Lee, Mingoo Seok, Scott Hanson, David T. Blaauw, Dennis Sylvester Standby power reduction techniques for ultra-low power processors. Search on Bibsonomy ESSCIRC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Kaviraj Chopra, Cheng Zhuo, David T. Blaauw, Dennis Sylvester A statistical approach for full-chip gate-oxide reliability analysis. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Brian Cline, Vivek Joshi, Dennis Sylvester, David T. Blaauw STEEL: a technique for stress-enhanced standard cell library design. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Jae-sun Seo, Igor L. Markov, Dennis Sylvester, David T. Blaauw On the decreasing significance of large standard cells in technology mapping. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Michael Wieckowski, Young Min Park, Carlos Tokunaga, Dong Woon Kim, Zhiyoong Foo, Dennis Sylvester, David T. Blaauw Timing yield enhancement through soft edge flip-flop based design. Search on Bibsonomy CICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Mingoo Seok, Scott Hanson, Jae-sun Seo, Dennis Sylvester, David T. Blaauw Robust ultra-low voltage ROM design. Search on Bibsonomy CICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Yu-Shiang Lin, Dennis Sylvester, David T. Blaauw An ultra low power 1V, 220nW temperature sensor for passive wireless applications. Search on Bibsonomy CICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Eric Karl, Prashant Singh, David T. Blaauw, Dennis Sylvester Compact In-Situ Sensors for Monitoring Negative-Bias-Temperature-Instability Effect and Oxide Degradation. Search on Bibsonomy ISSCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Yu-Shiang Lin, Dennis Sylvester Single stage static level shifter design for subthreshold to I/O voltage conversion. Search on Bibsonomy ISLPED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Mingoo Seok, Dennis Sylvester, David T. Blaauw Optimal technology selection for minimizing energy and variability in low voltage applications. Search on Bibsonomy ISLPED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Cheng Zhuo, David T. Blaauw, Dennis Sylvester Variation-aware gate sizing and clustering for post-silicon optimized circuits. Search on Bibsonomy ISLPED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Tong Ge, Joseph Sylvester Chang, Wei Shu PSRR of bridge-tied load PWM Class D Amps. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Himanshu Kaul, Jae-sun Seo, Mark A. Anders 0001, Dennis Sylvester, Ram Krishnamurthy 0001 A robust alternate repeater technique for high performance busses in the multi-core era. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Yu-Shiang Lin, Scott Hanson, Fabio Albano, Carlos Tokunaga, Razi-Ul Haque, Kensall D. Wise, Ann Marie Sastry, David T. Blaauw, Dennis Sylvester Low-voltage circuit design for widespread sensing applications. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Eric Karl, Dennis Sylvester, David T. Blaauw Analysis of System-Level Reliability Factors and Implications on Real-Time Monitoring Methods for Oxide Breakdown Device Failures. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Vineeth Veetil, Dennis Sylvester, David T. Blaauw Fast and Accurate Waveform Analysis with Current Source Models. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF weibull, bicubic spline, current source model
20Brilliant Mareme Petja, Dawie van Zyl, Phila C. Sibandze, Mokhele E. Moeletsi, Sylvester N. Mpandeli, Matiga Motsepe, Thabo Mashego Assessing the use of Coarse Resolution Imagery for Prioritizing Drought Prone/Severely Degraded Areas for Mitigation Policy Options in South Africa. Search on Bibsonomy IGARSS (3) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Sylvester Arnab, Vinesh Raja A Deformable Surface Model with Volume Preserving Springs. Search on Bibsonomy AMDO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Deformable Model, Mass Spring Systems, Volume Preservation
20Ravikishore Gandikota, David T. Blaauw, Dennis Sylvester Modeling crosstalk in statistical static timing analysis. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF delay noise, crosstalk, SSTA
20Vivek Joshi, Brian Cline, Dennis Sylvester, David T. Blaauw, Kanak Agarwal Leakage power reduction using stress-enhanced layouts. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF performance, mobility, layout, leakage, stress
20Vineeth Veetil, Dennis Sylvester, David T. Blaauw Efficient Monte Carlo based incremental statistical timing analysis. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Monte Carlo, variance reduction, statistical timing
20Harmander Singh, Kanak Agarwal, Dennis Sylvester, Kevin J. Nowka Enhanced Leakage Reduction Techniques Using Intermediate Strength Power Gating. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Kanak Agarwal, Rahul M. Rao, Dennis Sylvester, Richard B. Brown Parametric Yield Analysis and Optimization in Leakage Dominated Technologies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Kwen-Siong Chong, Bah-Hwee Gwee, Joseph Sylvester Chang Design of several asynchronous-logic macrocells for a low-voltage micropower cell library. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Kwen-Siong Chong, Bah-Hwee Gwee, Joseph Sylvester Chang Low energy 16-bit Booth leapfrog array multiplier using dynamic adders. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Chong-Fatt Law, Bah-Hwee Gwee, Joseph Sylvester Chang Fast and memory-efficient invariant computation of ordinary Petri nets. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Rajeev R. Rao, Kaviraj Chopra, David T. Blaauw, Dennis Sylvester Computing the Soft Error Rate of a Combinational Logic Circuit Using Parameterized Descriptors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Ashish Srivastava, T. Kachru, Dennis Sylvester Low-Power-Design Space Exploration Considering Process Variation Using Robust Optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Puneet Gupta 0001, Andrew B. Kahng, Youngmin Kim, Dennis Sylvester Self-Compensating Design for Reduction of Timing and Leakage Sensitivity to Systematic Pattern-Dependent Variation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Robert Bai, Nam Sung Kim, Taeho Kgil, Dennis Sylvester, Trevor N. Mudge Power-Performance Trade-Offs in Nanometer-Scale Multi-Level Caches Considering Total Leakage Search on Bibsonomy CoRR The full citation details ... 2007 DBLP  BibTeX  RDF
20Himanshu Kaul, Dennis Sylvester, David T. Blaauw, Trevor N. Mudge, Todd M. Austin DVS for On-Chip Bus Designs Based on Timing Error Correction Search on Bibsonomy CoRR The full citation details ... 2007 DBLP  BibTeX  RDF
20Dennis Sylvester, Ashish Srivastava Computer-Aided Design for Low-Power Robust Computing in Nanoscale CMOS. Search on Bibsonomy Proc. IEEE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Ronald G. Dreslinski, Bo Zhai, Trevor N. Mudge, David T. Blaauw, Dennis Sylvester An Energy Efficient Parallel Architecture Using Near Threshold Operation. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Allan Sylvester, Mary Tate, David Johnstone 0001 Re-presenting the Literature Review: A Rich Picture of Service Quality Research in Information Systems. Search on Bibsonomy PACIS The full citation details ... 2007 DBLP  BibTeX  RDF
20Allan Sylvester, Val A. Hooper Overcoming Teaching Challenges in a Foundation IS Course - An Intervention Study. Search on Bibsonomy PACIS The full citation details ... 2007 DBLP  BibTeX  RDF
20Yu-Shiang Lin, Dennis Sylvester Runtime leakage power estimation technique for combinational circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF subthreshold leakage analysis, runtime leakage power estimation technique, dynamic estimation methods, static estimation methods, combinational circuits, error estimation, SPICE simulations
20Youngmin Kim, Dusan Petranovic, Dennis Sylvester Simple and Accurate Models for Capacitance Increment due to Metal Fill Insertion. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF capacitance increment, metal fill insertion, inter level dielectric thickness planarity, metal dummy, signal capacitance, electrical characteristic, signal dimensions, dummy shape, dummy dimensions, simple test patterns, benchmark circuits, weighting function
20Nitesh V. Chawla, Jared Sylvester Exploiting Diversity in Ensembles: Improving the Performance on Unbalanced Datasets. Search on Bibsonomy MCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20William M. Tierney, Joseph K. Rotich, Terry J. Hannan, Abraham M. Siika, Paul G. Biondich, Burke W. Mamlin, Winstone M. Nyandiko, Sylvester N. Kimaiyo, Kara Wools-Kaloustian, John E. Sidle, Chrispinus J. Simiyu, Erica M. Kigotho, Beverly Musick, Joseph J. Mamlin, Robert M. Einterz The AMPATH Medical Record System: Creating, Implementing, and Sustaining an Electronic Medical Record System to Support Hiv/AIDS Care in Western Kenya. Search on Bibsonomy MedInfo The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Gregory K. Chen, David T. Blaauw, Trevor N. Mudge, Dennis Sylvester, Nam Sung Kim Yield-driven near-threshold SRAM design. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Vivek Joshi, David T. Blaauw, Dennis Sylvester Soft-edge flip-flops for improved timing yield: design and optimization. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Ravikishore Gandikota, Kaviraj Chopra, David T. Blaauw, Dennis Sylvester, Murat R. Becer, Joao Geada Victim alignment in crosstalk aware timing analysis. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Yu-Shiang Lin, Dennis Sylvester, David T. Blaauw A sub-pW timer using gate leakage for ultra low-power sub-Hz monitoring systems. Search on Bibsonomy CICC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Bo Zhai, David T. Blaauw, Dennis Sylvester, Scott Hanson A Sub-200mV 6T SRAM in 0.13μm CMOS. Search on Bibsonomy ISSCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Bo Zhai, Ronald G. Dreslinski, David T. Blaauw, Trevor N. Mudge, Dennis Sylvester Energy efficient near-threshold chip multi-processing. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF near-threshold, energy efficient, CMP, subthreshold
20Jae-sun Seo, Dennis Sylvester, David T. Blaauw, Himanshu Kaul, Ram Krishnamurthy 0001 A robust edge encoding technique for energy-efficient multi-cycle interconnect. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multi-cycle interconnect, interconnect, encoding, repeaters
20Nicholas D. Sylvester, William R. Provancher Effects of Longitudinal Skin Stretch on the Perception of Friction. Search on Bibsonomy WHC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Tong Ge, Joseph Sylvester Chang, Wei Shu Power Supply Noise in Bang-Bang Control Class D Amplifier. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Kwen-Siong Chong, Bah-Hwee Gwee, Joseph Sylvester Chang A Low Energy FFT/IFFT Processor for Hearing Aids. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Jae-sun Seo, Prashant Singh, Dennis Sylvester, David T. Blaauw Self-Time Regenerators for High-Speed and Low-Power Interconnect. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Scott Hanson, Bo Zhai, David T. Blaauw, Dennis Sylvester Energy-Optimal Circuit Design. Search on Bibsonomy SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Mingoo Seok, Scott Hanson, Dennis Sylvester, David T. Blaauw Analysis and Optimization of Sleep Modes in Subthreshold Circuit Design. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Scott Hanson, Mingoo Seok, Dennis Sylvester, David T. Blaauw Nanometer Device Scaling in Subthreshold Circuits. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Puneet Gupta 0001, Andrew B. Kahng, Youngmin Kim, Saumil Shah, Dennis Sylvester Line-End Shortening is Not Always a Failure. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Ravikishore Gandikota, Kaviraj Chopra, David T. Blaauw, Dennis Sylvester, Murat R. Becer Top-k Aggressors Sets in Delay Noise Analysis. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Nkgatho Sylvester Tlale On distributed mechatronics controller for omni-directional autonomous guided vehicles. Search on Bibsonomy Ind. Robot The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Dongwoo Lee, David T. Blaauw, Dennis Sylvester Runtime Leakage Minimization Through Probability-Aware Optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Scott Hanson, Bo Zhai, Kerry Bernstein, David T. Blaauw, Andres Bryant, Leland Chang, Koushik K. Das, Wilfried Haensch, Edward J. Nowak, Dennis Sylvester Ultralow-voltage, minimum-energy CMOS. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Richard Sylvester, Geraint Rees 0001 Extraretinal saccadic signals in human LGN and early retinotopic cortex. Search on Bibsonomy NeuroImage The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Rajeev R. Rao, Anirudh Devgan, David T. Blaauw, Dennis Sylvester Analytical yield prediction considering leakage/performance correlation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Kanak Agarwal, Dennis Sylvester, David T. Blaauw Modeling and analysis of crosstalk noise in coupled RLC interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Kanak Agarwal, Mridul Agarwal, Dennis Sylvester, David T. Blaauw Statistical interconnect metrics for physical-design optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Puneet Gupta 0001, Andrew B. Kahng, Puneet Sharma, Dennis Sylvester Gate-length biasing for runtime-leakage control. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Sarvesh H. Kulkarni, Dennis Sylvester Power Distribution Techniques for Dual VDD Circuits. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Dennis Sylvester, David T. Blaauw, Eric Karl ElastIC: An Adaptive Self-Healing Architecture for Unpredictable Silicon. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF unpredictable silicon, runtime self-diagnosis, adaptivity, architecture, process variations, self-healing, ElastIC, technology scaling
20Chad M. Sylvester, Giovanni d'Avossa, Maurizio Corbetta Models of human visual attention should consider trial-by-trial variability in preparatory neural signals. Search on Bibsonomy Neural Networks The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Kwen-Siong Chong, Bah-Hwee Gwee, Joseph Sylvester Chang A 16-Channel Low-Power Nonuniform Spaced Filter Bank Core for Digital Hearing Aids. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Rajeev R. Rao, Kaviraj Chopra, David T. Blaauw, Dennis Sylvester An efficient static algorithm for computing the soft error rates of combinational circuits. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Sarvesh H. Kulkarni, Dennis Sylvester Power distribution techniques for dual VDD circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Matthew R. Guthaus, Dennis Sylvester, Richard B. Brown Process-induced skew reduction in nominal zero-skew clock trees. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Kaviraj Chopra, Bo Zhai, David T. Blaauw, Dennis Sylvester A new statistical max operation for propagating skewness in statistical timing analysis. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Rajeev R. Rao, David T. Blaauw, Dennis Sylvester Soft error reduction in combinational logic using gate resizing and flipflop selection. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Sarvesh H. Kulkarni, Dennis Sylvester, David T. Blaauw A statistical framework for post-silicon tuning through body bias clustering. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Scott Hanson, Dennis Sylvester, David T. Blaauw A new technique for jointly optimizing gate sizing and supply voltage in ultra-low energy circuits. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF voltage scaling, gate sizing, subthreshold circuits
20Harmander Deogun, Robert M. Senger, Dennis Sylvester, Richard B. Brown, Kevin J. Nowka A dual-VDD boosted pulsed bus technique for low power and low leakage operation. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF pulsed bus, leakage, repeaters, Dual-VDD
20Scott Hanson, Bo Zhai, David T. Blaauw, Dennis Sylvester, Andres Bryant, Xinlin Wang Energy optimality and variability in subthreshold design. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF ultra-low energy, variability, subthreshold circuits
20Tong Ge, Joseph Sylvester Chang, Wei Shu Modeling and analysis of PSRR in analog PWM class D amplifiers. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Wei Shu, Joseph Sylvester Chang, Tong Ge, Meng Tong Tan Fourier series analysis of the nonlinearities in analog closed-loop PWM class D amplifiers. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Victor Adrian, Bah-Hwee Gwee, Joseph Sylvester Chang An acoustic noise suppression system with reduced musical artifacts. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Harmander Deogun, Dennis Sylvester, Kevin J. Nowka Fine grained multi-threshold CMOS for enhanced leakage reduction. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Vivek Joshi, Rajeev R. Rao, David T. Blaauw, Dennis Sylvester Logic SER Reduction through Flipflop Redesign. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Kanak Agarwal, Kevin J. Nowka, Harmander Deogun, Dennis Sylvester Power Gating with Multiple Sleep Modes. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 1490 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license