The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for timing with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1962-1969 (16) 1970-1974 (17) 1975-1976 (20) 1977-1979 (20) 1980-1982 (26) 1983 (15) 1984-1985 (49) 1986 (40) 1987 (40) 1988 (60) 1989 (70) 1990 (106) 1991 (108) 1992 (98) 1993 (105) 1994 (169) 1995 (226) 1996 (211) 1997 (250) 1998 (263) 1999 (334) 2000 (379) 2001 (385) 2002 (598) 2003 (642) 2004 (803) 2005 (881) 2006 (1067) 2007 (1017) 2008 (1041) 2009 (734) 2010 (469) 2011 (452) 2012 (403) 2013 (441) 2014 (427) 2015 (454) 2016 (479) 2017 (486) 2018 (495) 2019 (475) 2020 (429) 2021 (411) 2022 (406) 2023 (432) 2024 (96)
Publication types (Num. hits)
article(5049) book(9) data(2) incollection(43) inproceedings(10844) phdthesis(177) proceedings(21)
Venues (Conferences, Journals, ...)
PATMOS(927) DAC(547) IEEE Trans. Comput. Aided Des....(462) ICCAD(338) CoRR(336) DATE(336) ASP-DAC(223) IEEE Trans. Commun.(210) IEEE Trans. Very Large Scale I...(198) ISCAS(197) ISQED(179) VLSI Design(142) ISPD(131) RTSS(127) ICCD(126) ACM Great Lakes Symposium on V...(125) More (+10 of total 2487)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 9063 occurrences of 3443 keywords

Results
Found 16149 publication records. Showing 16145 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
21Xiaocong Zhou, Jianping Chen, Tiejun Huang 0001 A Scene Representation Application Implementing LASeR Using Object-Based Timing Model. Search on Bibsonomy PCM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF object-based timing model, LASeR, rich-media, scene description
21Jürgen Schnerr, Oliver Bringmann 0001, Alexander Viehl, Wolfgang Rosenstiel High-performance timing simulation of embedded software. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF software timing, virtual prototypes, simulation acceleration
21Noel Menezes, Chandramouli V. Kashyap, Chirayu S. Amin A "true" electrical cell model for timing, noise, and power grid verification. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF static timing analysis, current source models, cell models
21Kenneth Eguro, Scott Hauck Enhancing timing-driven FPGA placement for pipelined netlists. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF timing-driven, FPGA, simulated annealing, pipelined, placement
21Vadim I. Arkin, Alexander Slastnikov The effect of depreciation allowances on the timing of investment and government tax revenue. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Corporate taxation, Depreciation policy, Stochastic cash flows, Investment timing, Tax revenue, Net present value
21Naoki Masuda, Hiroshi Kori Formation of feedforward networks and frequency synchrony by spike-timing-dependent plasticity. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Synchronization, Complex networks, Spike-timing-dependent plasticity, Feedforward networks
21Jan Reineke 0001, Daniel Grund, Christoph Berg, Reinhard Wilhelm Timing predictability of cache replacement policies. Search on Bibsonomy Real Time Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Predictability, Timing analysis, Hard real-time systems, Cache replacement policies, Cache analysis
21Xianfeng Li, Abhik Roychoudhury, Tulika Mitra, Prabhat Mishra 0001, Xu Cheng 0001 A Retargetable Software Timing Analyzer Using Architecture Description Language. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF retargetable software timing analyzer, static WCET analysis, program path analysis, microarchitecture modeling, graph-based execution models, pipeline model, real-time systems, architecture description language, worst case execution time, embedded processors, branch prediction, schedulability analysis
21Shi-Hao Chen, Ke-Cheng Chu, Jiing-Yuan Lin, Cheng-Hong Tsai DFM/DFY practices during physical designs for timing, signal integrity, and power. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 0.13 micron, DFY, dynamic IR drop, process variation, physical designs, DFM, design for manufacturability, signal integrity, timing integrity, yield analysis, design for yield
21Philipp V. Panitz, Markus Olbrich, Erich Barke, Jürgen Koehl Robust wiring networks for DfY considering timing constraints. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF redundant wiring, timing constraint aware, open defects, design for yield
21Yue Zhuo, Hao Li, Qiang Zhou 0001, Yici Cai, Xianlong Hong New timing and routability driven placement algorithms for FPGA synthesis. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF congestion driven placement, physical synthesis, timing driven placement, net weight
21Naoaki Ohkubo, Kimiyoshi Usami Delay modeling and static timing analysis for MTCMOS circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF interpolation., selective-MT, delay, leakage power, static timing analysis, MTCMOS
21Jaskirat Singh, Sachin S. Sapatnekar Statistical timing analysis with correlated non-gaussian parameters using independent component analysis. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF non-Gaussian, independent component analysis, statistical timing, moment matching
21Amitava Majumdar 0002, Wei-Yu Chen, Jun Guo Hold time validation on silicon and the relevance of hazards in timing analysis. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hold time validation, ATPG, timing analysis, delay test
21Jinjun Xiong, Vladimir Zolotov, Natesan Venkateswaran, Chandu Visweswariah Criticality computation in parameterized statistical timing. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF criticality probability, parametric variation, statistical timing
21Hai Lin, Yu Wang 0002, Rong Luo, Huazhong Yang, Hui Wang 0004 IR-drop Reduction Through Combinational Circuit Partitioning. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Static Timing Analysis, IR-drop, circuit partitioning
21Yiping Cheng, Da-Zhong Zheng Min-Max Inequalities and the Timing Verification Problem with Max and Linear Constraints. Search on Bibsonomy Discret. Event Dyn. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF min-max inequalities, min-max functions, timing analysis and verification, discrete event systems
21Gang Chen 0020, Jason Cong Simultaneous timing-driven placement and duplication. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF logic duplication, FPGA, legalization, timing-driven placement, redundancy removal
21Sotirios Matakias, Y. Tsiatouhas, Angela Arapoyanni, Themistoklis Haniotakis A Circuit for Concurrent Detection of Soft and Timing Errors in Digital CMOS ICs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF soft and timing errors, monitoring circuits, concurrent testing, time redundancy
21Aloysius K. Mok, Prabhudev Konana, Guangtian Liu, Chan-Gun Lee, Honguk Woo Specifying Timing Constraints and Composite Events: An Application in the Design of Electronic Brokerages. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF electronic brokerages, event specification, timing constraints, Active databases, real-time databases
21Shamik Das, Anantha P. Chandrakasan, Rafael Reif Timing, energy, and thermal performance of three-dimensional integrated circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF thermal optimization, timing, energy, 3-D IC, 3-D integration
21Aman Kokrady, C. P. Ravikumar Fast, Layout-Aware Validation of Test-Vectors for Nanometer-Related Timing Failures. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Timing Failure, Test Validation, Crosstalk, At Speed Testing, IR Drop
21Bernd Obermeier, Frank M. Johannes Quadratic placement using an improved timing model. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Steiner tree net model, optimization potential, sensitivity, Quadratic placement, timing driven placement
21Fan Mo, Robert K. Brayton A timing-driven module-based chip design flow. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF timing constraints, design flow, physical synthesis
21Xiaohua Kong, Radu Negulescu, Larry Weidong Ying Refinement-based formal verification with heterogeneous timing. Search on Bibsonomy Int. J. Softw. Tools Technol. Transf. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Communication refinement, Refinement-based verification, Relative timing, globally asynchronous locally synchronous, Process space
21Jörg E. Vollrath Output Timing Measurement Using an Idd Method. Search on Bibsonomy MTDT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF DDR, timing, DRAM
21Werner Schindler, Colin D. Walter More Detail for a Combined Timing and Power Attack against Implementations of RSA. Search on Bibsonomy IMACC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF statistical decision problem, power analysis, exponentiation, timing attack, RSA cryptosystem, side channel leakage, Montgomery modular multiplication
21Ingmar Neumann, Kolja Sulimma, Wolfgang Kunz Accelerating Retiming Under the Coupled-Edge Timing Model. Search on Bibsonomy ISVLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF retiming, timing optimization
21Ali Dasdan A strongly polynomial-time algorithm for over-constraint resolution: efficient debugging of timing constraint violations. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF scheduling, high-level synthesis, constraint satisfaction, timing constraints, behavioral synthesis, rate analysis
21Ajay J. Daga, Loa Mize, Subramanyam Sripada, Chris Wolff, Qiuyang Wu Automated timing model generation. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF EDA, static timing analysis, model generation
21Katsuyuki Okeya, Kouichi Sakurai Power Analysis Breaks Elliptic Curve Cryptosystems even Secure against the Timing Attack. Search on Bibsonomy INDOCRYPT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Montgomery-form, Scalar Multiplication Algorithm, Elliptic Curve Cryptosystem, Power Analysis, Timing Attack, Efficient Implementation
21Eugene Goldberg, Alexander Saldanha Timing Analysis with Implicitly Specified False Paths. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF known false paths, implicit false path representation, timing analysis, breadth-first search
21Anastasio Molano, Kanaka Juvva, Ragunathan Rajkumar Real-time filesystems - Guaranteeing timing constraints for disk accesses in RT-Mach. Search on Bibsonomy RTSS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF real-time file systems, RT-Mach, real-time database applications, real-time disk scheduling algorithm, earliest deadline scheduling, just-in-time scheduling, aperiodic servers, disk throughput, admission control policies, microkernel-based system, real-time shell, resource reservation paradigm, disk scheduling algorithms, performance, usability, real-time systems, multimedia systems, timing constraint, disks, disk access, concurrent applications
21Sijing Zhang, Alan Burns 0001 Timing Properties of the Timed Token MAC Protocol. Search on Bibsonomy ICCCN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF timed token MAC protocol, synchronous bandwidth, real-time communications, FDDI networks, Timing properties
21James C. Corbett Timing Analysis of Ada Tasking Programs. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF real-time systems, program verification, hybrid systems, Timing analysis, Ada tasking
21Kyeonghoon Koo, Wook Hyun Kwon Worst-case timing prediction of relay ladder logic by constraint analysis. Search on Bibsonomy RTCSA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF worst-case timing prediction, relay ladder logic, boolean logic equations, logic equations, complexity, logic programming, logic programming, application programs, constraint analysis
21Namyun Kim A scheduling technique for real-time systems with end-to-end timing constraints. Search on Bibsonomy RTCSA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF end-to-end timing constraints, shared tasks, overload situations, real-time systems, real-time systems, scheduling technique
21David Van Campenhout, Trevor N. Mudge, Karem A. Sakallah Timing verification of sequential domino circuits. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF domino gates, sequential domino circuits, static timing verification, logic testing, input signals
21B. Antal, György Csertán, István Majzik, Andrea Bondavalli, Luca Simoncini Reachability and Timing Analysis in Data Flow Networks: A Case Study. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF data flow networks, computer control systems, performance, safety, timing analysis, reachability analysis, reachability analysis
21Richard Gerber 0001, Seongsoo Hong Compiling Real-Time Programs With Timing Constraint Refinement and Structural Code Motion. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF gated single assignment, Real-time, programming languages, compiler optimization, timing analysis, code motion, static single assignment, trace scheduling, code scheduling
21Michael González Harbour, Mark H. Klein, John P. Lehoczky Timing Analysis for Fixed-Priority Scheduling of Hard Real-Time Systems. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF periodic task set, fixed-priority methods, serially executed subtasks, complex priority structure, nonpreemptible sections, scheduling, schedulability, real-time systems, robots, robotics, message passing, interrupts, interrupts, timing analysis, execution time, hard real-time systems, fixed-priority scheduling, precedence constraints, periodic tasks, message-passing systems, synchronization protocols, uniprocessor
21Jia Xu, David Lorge Parnas On Satisfying Timing Constraints in Hard-Real-Time Systems. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF pre-run-time scheduling, mathematical scheduling problems, scheduling, real-time systems, timing constraints, operating systems (computers), hard-real-time systems
21Nancy A. Lynch, Frits W. Vaandrager Forward and Backward Simulations for Timing-Based Systems. Search on Bibsonomy REX Workshop The full citation details ... 1991 DBLP  DOI  BibTeX  RDF timing-based systems, backward simulations, forward-backward simulations, backward-forward simulations, history variables, prophecy variables, history relations, prophecy relations, Simulations, real-time, timed automata, refinement mappings, forward simulations
20Xiu-Hong Wang, Xingpeng Mao, Hui-Xiao Ma, Gongliang Liu, Ping Wu An interleaver acquisition scheme in asynchronous IDMA systems. Search on Bibsonomy IWCMC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF interleaver acquisition, sliding correlation, timing synchronization IDMA
20Myungsu Choi, Minsu Choi Scalability of Globally Asynchronous QCA (Quantum-Dot Cellular Automata) Adder Design. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF QCA (quantum-dot cellular automata), Asynchronous architecture, Layout timing problem, Scalability, Robustness
20Elif Alpaslan, Yu Huang 0005, Xijiang Lin, Wu-Tung Cheng, Jennifer Dworak Reducing Scan Shift Power at RTL. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Test Power Reduction, Power-Sensitive Scan Cell, RTL DFT, Timing Closure, Scan Based Test
20Vishal Khandelwal, Ankur Srivastava 0001 Variability-driven formulation for simultaneous gate sizing and post-silicon tunability allocation. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF post-silicon tunability, variability, stochastic optimization, timing optimization, gate sizing
20Rajesh Sundaresan, Sergio Verdú Capacity of queues via point-process channels. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2006 DBLP  DOI  BibTeX  RDF channels with feedback, direct-detection photon channel, poisson channel, queue, nonlinear filtering, point process, rate, intensity, timing channel
20Jameleddine Hassine, Juergen Rilling, Rachida Dssouli Timed Use Case Maps. Search on Bibsonomy SAM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF timing aspects, timed UCM, Clocked Transition Systems, performance, User Requirements Notation, Use Case Maps
20Hosung (Leo) Kim, John Lillis, Milos Hrkic Techniques for improved placement-coupled logic replication. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF placement, timing optimization, programmable logic, logic replication
20Chirayu S. Amin, Chandramouli V. Kashyap, Noel Menezes, Kip Killpack, Eli Chiprout A multi-port current source model for multiple-input switching effects in CMOS library cells. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF MCSM, cell library characterization, multiple input switching, timing analysis, current source model, cell model
20Roberto Giacobazzi, Isabella Mastroeni Timed Abstract Non-interference. Search on Bibsonomy FORMATS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF security, Abstract interpretation, non-interference, timing channels
20Milos Hrkic, John Lillis, Giancarlo Beraudo An approach to placement-coupled logic replication. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF placement, timing optimization, programmable logic, logic replication
20Kristian Sandström, Christer Norström Managing Complex Temporal Requirements in Real-Time Control Systems. Search on Bibsonomy ECBS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF assigning priorities, assigning offsets, relative timing constraints, scheduling, Real-time systems, control systems
20Werner Schindler, François Koeune, Jean-Jacques Quisquater Improving Divide and Conquer Attacks against Cryptosystems by Better Error Detection / Correction Strategies. Search on Bibsonomy IMACC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Error detection, error correction, timing attack, power attack
20Jeffrey E. Boyd, James J. Little Phase in Model-Free Perception of Gait. Search on Bibsonomy Workshop on Human Motion The full citation details ... 2000 DBLP  DOI  BibTeX  RDF model-free perception, periodic systems, model-free shape-of-motion phase analysis, phasor representation, limb pendulum-like motion, image sequences, image sequences, timing, biology computing, gait analysis, image motion analysis, human gait
20Christopher A. Healy, Robert D. Arnold, Frank Mueller 0001, David B. Whalley, Marion G. Harmon Bounding Pipeline and Instruction Cache Performance. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF best case execution time, Real-time systems, pipelining, timing analysis, worst case execution time, instruction cache
20Stefan M. Petters, Annette Muth, Thomas Kolloch, Thomas Hopfner, Franz Fischer, Georg Färber The REAR Framework for Emulation and Analysis of Embedded Hard Real-Time Systems . Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 1999 DBLP  DOI  BibTeX  RDF rapid prototyping, timing constraints, schedulability analysis, SDL, design automation, hard real-time, WCET-analysis
20Tarik Ono-Tesfaye, Christoph Kern, Mark R. Greenstreet Verifying a Self-Timed Divider. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF model checking, refinement, asynchronous, hardware verification, timing verification, self-timed, speed-independence
20Radu Negulescu, Ad M. G. Peeters Verification of Speed-Dependences in Single-Rail Handshake Circuits. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF single-rail, isochronic forks, verification, timing, asynchronous circuits, progress, speed-independent circuits, process spaces, handshake circuits
20Anirudh Devgan Efficient coupled noise estimation for on-chip interconnects. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF coupled noise estimation, dynamic logic circuit families, noise criticality pruning, physical design based noise avoidance, circuit simulation, on-chip interconnects, Elmore delay, noise analysis, timing simulation, integrated circuit noise, deep submicron design
20Kenneth L. Shepard, Vinod Narayanan, Peter C. Elmendorf, Gutuan Zheng Global harmony: coupled noise analysis for full-chip RC interconnect networks. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF interconnect, noise, static timing analysis
20H. Rebecca Callison A Time-Sensitive Object Model for Real-Time Systems. Search on Bibsonomy ACM Trans. Softw. Eng. Methodol. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF programming techniques, real-time processing models, fault tolerance, concurrency, timing constraints, object models
20Daniel I. Katcher, Hiroshi Arakawa, Jay K. Strosnider Engineering and Analysis of Fixed Priority Schedulers. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF timing correctness, validation process, blocking components, fixed priority scheduling algorithms, timer-driven scheduling, event-driven scheduling, optimal timer rate, schedulability, scheduling, real-time systems, real-time applications, operating systems (computers), fixed priority schedulers, operating system kernels, scheduling theory, hardware platforms
20Nancy A. Lynch Simulation Techniques for Proving Properties of Real-Time Systems. Search on Bibsonomy REX School/Symposium The full citation details ... 1993 DBLP  DOI  BibTeX  RDF timing-based system, untimed system, invariant assertion, progress function, execution correspondence, Simulation, real-time system, lower bound, invariant, mutual exclusion, upper bound, clock synchronization, leader election, time bound
20Catherine Mongenet Affine Timings for Systems of Affine Recurrence Equations. Search on Bibsonomy PARLE (1) The full citation details ... 1991 DBLP  DOI  BibTeX  RDF systems of affine recurrence equations, affine timing functions, mapping, systolic arrays, processor arrays
20Leo Yuhsiang Liu, R. K. Shyamasundar Static Analysis of Real-Time Distributed Systems. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF maximal parallelism model, parallel actions, temporal errors, CSP programs, software engineering, real-time systems, parallel programming, static analysis, distributed processing, programs, programming languages, reasoning, deadlocks, terminations, failures, livelocks, timing properties, real-time distributed systems, temporal behaviors
20Emanuele Sciagura, Paolo Zicari, Stefania Perri, Pasquale Corsonello An efficient and optimized FPGA Feedback M-PSK Symbol Timing Recovery Architecture based on the Gardner Timing Error Detector. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20V. Migairou, Robin Wilson, Sylvain Engels, Nadine Azémard, Philippe Maurine Statistical Characterization of Library Timing Performance. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20B. Chung, J. B. Kuo Gate-Level Dual-Threshold Static Power Optimization Methodology (GDSPOM) Using Path-Based Static Timing Analysis (STA) Technique. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Marko Aleksic, Nikola Nedovic, K. Wayne Current, Vojin G. Oklobdzija A New Model for Timing Jitter Caused by Device Noise in Current-Mode Logic Frequency Dividers. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Reinhard Wilhelm Timing Analysis and Timing Predictability. Search on Bibsonomy FMCO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Tudor Murgan, Alberto García Ortiz, Clemens Schlachta, Heiko Zimmer, Mihail Petrov, Manfred Glesner On Timing and Power Consumption in Inductively Coupled On-Chip Interconnects. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Ricardo Augusto da Luz Reis Power and Timing Driven Physical Design Automation. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Rupesh S. Shelar, Marek Patyra Impact of local interconnects on timing and power in a high performance microprocessor. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF CAD, delay, interconnects, power, microprocessor
19Matthias Rohr, André van Hoorn, Wilhelm Hasselbring, Marco Lübcke, Sergej Alekseev Workload-intensity-sensitive timing behavior analysis for distributed multi-user software systems. Search on Bibsonomy WOSP/SIPEW The full citation details ... 2010 DBLP  DOI  BibTeX  RDF response time distribution, workload intensity, performance, scalability, concurrency, monitoring, profiling, software performance
19Yi-Lin Chuang, Sangmin Kim, Youngsoo Shin, Yao-Wen Chang Pulsed-latch aware placement for timing-integrity optimization. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF pulsed latch, placement, physical design
19Ming Ruan, Zhenning Shi, Mark C. Reed Training symbol based coarse timing synchronization in OFDM systems. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Tilde Fusco, Angelo Petrella, Mario Tanda Data-aided symbol timing and CFO synchronization for filter bank multicarrier systems. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Vishal J. Mehta, Malgorzata Marek-Sadowska, Kun-Han Tsai, Janusz Rajski Timing-Aware Multiple-Delay-Fault Diagnosis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Meikang Qiu, Edwin Hsing-Mean Sha Cost minimization while satisfying hard/soft timing constraints for heterogeneous embedded systems. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Embedded Systems, real-time, high-level synthesis, heterogeneous
19Jinpeng Zhao, Qiang Zhou 0001, Yici Cai Fast congestion-aware timing-driven placement for island FPGA. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Sheng Li 0007, Jung Ho Ahn, Richard D. Strong, Jay B. Brockman, Dean M. Tullsen, Norman P. Jouppi McPAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Brian Greskamp, Lu Wan, Ulya R. Karpuzcu, Jeffrey J. Cook, Josep Torrellas, Deming Chen, Craig B. Zilles Blueshift: Designing processors for timing speculation from the ground up. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Lin Xie, Azadeh Davoodi Bound-based identification of timing-violating paths under variability. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Kwangok Jeong, Andrew B. Kahng Timing analysis and optimization implications of bimodal CD distribution in double patterning lithography. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Nicholas Callegari, Pouria Bastani, Li-C. Wang, Sreejit Chakravarty, Alexander Tetelbaum Path selection for monitoring unexpected systematic timing effects. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Darrell Bethea, Michael K. Reiter Data Structures with Unpredictable Timing. Search on Bibsonomy ESORICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Yali Liu, Dipak Ghosal, Frederik Armknecht, Ahmad-Reza Sadeghi, Steffen Schulz 0001, Stefan Katzenbeisser 0001 Hide and Seek in Time - Robust Covert Timing Channels. Search on Bibsonomy ESORICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Shuang Tian, Kusha Panta, Himal A. Suraweera, Brendon J. C. Schmidt, Steve McLaughlin 0001, Jean Armstrong A novel timing synchronization method for ACO-OFDM-based optical wireless communications. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Iulian Ober, Susanne Graf, Yuri Yushtein, Ileana Ober Timing analysis and validation with UML: the case of the embedded MARS bus manager. Search on Bibsonomy Innov. Syst. Softw. Eng. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Jing Huang, Wei Zhang, Yansheng Lu, Qin Yang Reflective Design for Component-Based Distributed Systems with Timing Constraints. Search on Bibsonomy COMPSAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Xiayong Hu, Mark Lawford, Alan Wassyng Formal Verification of the Implementability of Timing Requirements. Search on Bibsonomy FMICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Jae-Seok Yang, David Z. Pan Overlay aware interconnect and timing variation modeling for double patterning technology. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Yifang Liu, Rupesh S. Shelar, Jiang Hu Delay-optimal simultaneous technology mapping and placement with applications to timing optimization. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Bo Zhang Specifying and Verifying Timing Properties of a Time-triggered Protocol for In-vehicle Communication. Search on Bibsonomy SNPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Hsin-Hsiung Huang, Shu-Ping Chang, Yu-Cheng Lin, Tsai-Ming Hsieh Timing-driven X-architecture router among rectangular obstacles. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Hsin-Hsiung Huang, Hui-Yu Huang, Yu-Cheng Lin, Tsai-Ming Hsieh Timing-driven obstacles-avoiding routing tree construction for a multiple-layer system. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Ashoka Visweswara Sathanur, Antonio Pullini, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino Optimal sleep transistor synthesis under timing and area constraints. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF row-based, clustering, leakage power, power-gating, standard cell, sleep transistor
19Lin Xie, Azadeh Davoodi Robust Estimation of Timing Yield with Partial Statistical Information on Process Variations. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 16145 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license