The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for wiring with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1973 (15) 1974-1978 (16) 1979-1981 (15) 1982-1985 (16) 1986-1987 (15) 1988-1989 (27) 1990 (17) 1991-1992 (24) 1993-1994 (27) 1995 (23) 1996 (20) 1997 (15) 1998 (57) 1999 (34) 2000 (41) 2001 (30) 2002 (41) 2003 (41) 2004 (43) 2005 (37) 2006 (63) 2007 (55) 2008 (40) 2009 (24) 2010 (16) 2011-2012 (20) 2013-2014 (30) 2015-2016 (25) 2017-2018 (24) 2019-2020 (23) 2021 (20) 2022 (20) 2023 (18) 2024 (6)
Publication types (Num. hits)
article(323) incollection(7) inproceedings(603) phdthesis(4) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 553 occurrences of 391 keywords

Results
Found 938 publication records. Showing 938 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
9Thomas Eschbach, Wolfgang Günther 0001, Bernd Becker 0001 Orthogonal Circuit Visualization Improved by Merging the Placement and Routing Phases. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Bernhard Huber, Philipp Peti, Roman Obermaisser, Christian El Salloum Using RTAI/LXRT for Partitioning in a Prototype Implementation of the DECOS Architecture. Search on Bibsonomy WISES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9David Hales Choose Your Tribe! - Evolution at the Next Level in a Peer-to-Peer Network. Search on Bibsonomy Engineering Self-Organising Systems The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Paul Frenger Embed with Forth. Search on Bibsonomy ACM SIGPLAN Notices The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Michael J. Wiener The Full Cost of Cryptanalytic Attacks. Search on Bibsonomy J. Cryptol. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Parallel collision search, Double encryption, Triple encryption, Cryptanalysis, Factoring, Discrete logarithm, Number field sieve, Meet-in-the-middle attack, Hash collision
9Prashant Saxena, Noel Menezes, Pasquale Cocchini, Desmond Kirkpatrick Repeater scaling and its impact on CAD. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Masaru Takesue DC-mesh: A Contracted High-Dimensional Mesh for Dynamic Clustering. Search on Bibsonomy NPC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Arianna Menciassi, Samuele Gorini, G. Pernorio, Paolo Dario A SMA Actuated Artificial Earthworm. Search on Bibsonomy ICRA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Andrzej Kochut, Norman Bobroff, Kirk A. Beaty, Gautam Kar Management issues in storage area networks: detection and isolation of performance problems. Search on Bibsonomy NOMS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Hyosun Kim, Dieter W. Fellner Interaction with Hand Gesture for a Back-Projection Wall. Search on Bibsonomy Computer Graphics International The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Zhongsheng Hua, Liang Liang 0001 Heuristics to Scenario-Based Capacity Expansion Problem of PWB Assembly Systems. Search on Bibsonomy CASDMKM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Randolph Y. Wang, Sumeet Sobti, Nitin Garg, Elisha Ziskind, Junwen Lai, Arvind Krishnamurthy Turning the postal system into a generic digital communication mechanism. Search on Bibsonomy SIGCOMM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF postal network, storage devices, network architecture
9Jaskirat Singh, Sachin S. Sapatnekar Topology optimization of structured power/ground networks. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF optimization, routing, power, ground
9Desmond Kirkpatrick, Peter J. Osler, Louis Scheffer, Prashant Saxena, Dennis Sylvester The great interconnect buffering debate: are you a chicken or an ostrich? Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Rajeev Balasubramonian Cluster prefetch: tolerating on-chip wire delays in clustered microarchitectures. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF communication-bound processors, effective address and memory dependence prediction, processor, data prefetch, distributed caches, clustered microarchitectures
9Atsushi Iwashita, Makoto Shimojo Development of a mixed signal LSI for tactile data processing. Search on Bibsonomy SMC (5) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Arjun Kapoor, Nikhil Jayakumar, Sunil P. Khatri A novel clock distribution and dynamic de-skewing methodology. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Kangmin Lee, Se-Joong Lee, Hoi-Jun Yoo SILENT: serialized low energy transmission coding for on-chip interconnection networks. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Reinaldo A. Bergamaschi Early and accurate analysis of SoCs: oxymoron or real? Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF performance, power, design space exploration, floorplanning, design analysis
9Puneet Gupta 0001, Andrew B. Kahng, Youngmin Kim, Dennis Sylvester Investigation of performance metrics for interconnect stack architectures. Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF back-end metrics, interconnect stacks, via blockage, throughput, energy, bandwidth
9Sani R. Nassif The impact of variability on power. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF power, variability, integrated circuit
9Marc Garbey, Arcangelo Merla, Ioannis T. Pavlidis Estimation of Blood Flow Speed and Vessel Location from Thermal Video. Search on Bibsonomy CVPR (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Srinivasa R. Sridhara, Arshad Ahmed, Naresh R. Shanbhag Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Shalini Ghosh, F. Joel Ferguson Estimating detection probability of interconnect opens using stuck-at tests. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF break fault, interconnect open, stuck-at test
9Hans Eberle, Arvinderpal Wander, Nils Gura Testing Systems Wirelessly. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Jing Huang 0001, Mehdi Baradaran Tahoori, Fabrizio Lombardi Routability and Fault Tolerance of FPGA Interconnect Architectures. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Aman Kokrady, C. P. Ravikumar Fast, Layout-Aware Validation of Test-Vectors for Nanometer-Related Timing Failures. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Timing Failure, Test Validation, Crosstalk, At Speed Testing, IR Drop
9Marghoob Mohiyuddin, Amit Prakash, Adnan Aziz, Wayne H. Wolf Synthesizing interconnect-efficient low density parity check codes. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF error correcting codes, low-density parity-check codes, routing congestion
9Fan Mo, Robert K. Brayton A timing-driven module-based chip design flow. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF timing constraints, design flow, physical synthesis
9Raymond A. Wildman, Joshua I. Kramer, Daniel S. Weile, Phillip Christie Multi-objective optimization of interconnect geometry. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9José Flich, Pedro López 0001, Manuel P. Malumbres, José Duato, Tomas Rokicki Applying In-Transit Buffers to Boost the Performance of Networks with Source Routing. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Networks of workstations, wormhole switching, irregular topologies, source routing, minimal routing
9Wing Seung Yuen, Evangeline F. Y. Young Slicing floorplan with clustering constraint. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Chin-Chih Chang, Jason Cong, David Zhigang Pan, Xin Yuan 0005 Multilevel global placement with congestion control. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Davide Pandini, Lawrence T. Pileggi, Andrzej J. Strojwas Global and local congestion optimization in technology mapping. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Prabhakar Kudva, Andrew Sullivan, William E. Dougherty Measurements for structural logic synthesis optimizations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Aviel D. Rubin Introduction. Search on Bibsonomy Commun. ACM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Robert F. Bedoll A Tail of Two Projects: How 'Agile' Methods Succeeded After 'Traditional' Methods Had Failed in a Critical System-Development Project. Search on Bibsonomy XP/Agile Universe The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Bruce Randall Donald, Christopher G. Levey, Craig D. McGray, Daniela Rus, Mike Sinclair UntetheredMicro-Actuators for Autonomous Micro-robot Locomotion: Design, Fabrication, Control, and Performance. Search on Bibsonomy ISRR The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Ketan Padalia, Ryan Fung, Mark Bourgeault, Aaron Egier, Jonathan Rose Automatic transistor and physical design of FPGA tiles from an architectural specification. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGA, programmable logic, PLD, automatic layout
9Rodolfo E. Haber, José R. Alique, Angel Alique, Ramón Uribe-Etxebarria, Javier Hernández Embedded Fuzzy Control System in an Open Computerized Numerical Control; A Technology Transfer Case-Study. Search on Bibsonomy IFSA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Sandeep Kumar Goel, Erik Jan Marinissen Layout-Driven SOC Test Architecture Design for Test Time and Wire Length Minimization. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Bart Verheecke, María Agustina Cibrán, Viviane Jonckers AOP for Dynamic Configuration and Management of Web Services. Search on Bibsonomy ICWS-Europe The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Web Services, Aspect Oriented Programming, Dynamic Configuration, Web Services Management, Hot-Swapping
9Prashant Saxena, Noel Menezes, Pasquale Cocchini, Desmond Kirkpatrick The scaling challenge: can correct-by-construction design help? Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF clocked repeaters, correct-by-construction design, design fabrics, post-RTL design, routing, interconnect, placement, logic synthesis, scaling, technology mapping, repeaters
9Hongyu Chen, Chung-Kuan Cheng, Andrew B. Kahng, Ion I. Mandoiu, Qinke Wang, Bo Yao The Y-Architecture for On-Chip Interconnect: Analysis and Methodology. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Joachim Pistorius, Mike Hutton Placement rent exponent calculation methods, temporal behaviour and FPGA architecture evaluation. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGA architecture, interconnect prediction, SLIP, rent
9Takahiro Hanyu, Tomohiro Takahashi, Michitaka Kameyama Bidirectional Data Transfer Based Asynchronous VLSI System Using Multiple-Valued Current Mode Logic. Search on Bibsonomy ISMVL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9James R. Heath A systems approach to molecular electronics. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Ken Yamamoto, Minoru Fujishima, Koichiro Hoh Optimization of shield structures in analog integrated circuits. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Mohamed A. Elgamel, Kannan S. Tharmalingam, Magdy A. Bayoumi Noise-constrained interconnect optimization for nanometer technologies. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Davide Pandini, Lawrence T. Pileggi, Andrzej J. Strojwas Bounding the efforts on congestion optimization for physical synthesis. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF optimization, logic synthesis, physical design, technology mapping, routing congestion
9Javier Jaén Martínez, Isidro Ramos A Conceptual Model for Context-Aware Dynamic Architectures. Search on Bibsonomy ICDCS Workshops The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Mehdi Baradaran Tahoori, Subhasish Mitra Automatic Configuration Generation for FPGA Interconnect Testing. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Pete Docter Cheating, deceit and sleight-of-hand: how to create good character animation. Search on Bibsonomy SI3D The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Luigi Francesco Agnati, Letizia Santarossa, Susanna Genedani, Enric I. Canela, Giuseppina Leo, Rafael Franco, Amina Woods, Carmen Lluis, Sergi Ferré, Kjell Fuxe On the Nested Hierarchical Organization of CNS: Basic Characteristics of Neuronal Molecular Networks. Search on Bibsonomy Summer School on Neural Networks The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Willi Geiselmann, Rainer Steinwandt Hardware to Solve Sparse Systems of Linear Equations over GF(2). Search on Bibsonomy CHES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Kiarash Mahdavi, Mark Harman, Robert M. Hierons A Multiple Hill Climbing Approach to Software Module Clustering. Search on Bibsonomy ICSM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Module clustering, Hill climbing, Search based software engineering
9Hrishikesh J. Goradia, José M. Vidal Building Blocks for Agent Design. Search on Bibsonomy AOSE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Partha Pratim Pande, Cristian Grecu, André Ivanov High-Throughput Switch-Based Interconnect for Future SoCs. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF SoC, Wormhole Routing, Virtual Channels, Interconnect Architecture
9Baruch Awerbuch, Tripurari Singh An Online Algorithm for the Dynamic Maximal Dense Tree Problem. Search on Bibsonomy Algorithmica The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Dense tree, Multicast, Dynamic, Online algorithms
9José Flich, Pedro López 0001, Manuel P. Malumbres, José Duato Boosting the Performance of Myrinet Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9José Flich, Pedro López 0001, Manuel P. Malumbres, José Duato Boosting the Performance of Myrinet Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF performance evaluation, Networks of workstations, wormhole switching, source routing, minimal routing
9Minghorng Lai, Martin D. F. Wong Maze routing with buffer insertion and wiresizing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Padmini Gopalakrishnan, Altan Odabasioglu, Lawrence T. Pileggi, Salil Raje An analysis of the wire-load model uncertainty problem. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Ki-Seok Chung, Rajesh K. Gupta 0001, Taewhan Kim, C. L. Liu 0001 Synthesis and Optimization of Combinational Interface Circuits. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF embedded systems, logic circuit, interface synthesis
9Naotaka Ohsawa, Masanori Hariyama, Michitaka Kameyama High-Performance Field Programmable VLSI Processor Based on a Direct Allocation of a Control/Data Flow Graph. Search on Bibsonomy ISVLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FPGA, Reconfigurable processor, Two-dimensional array, Bit-serial architecture
9Steven Hsu, Shih-Lien Lu, Shih-Chang Lai, Ram Krishnamurthy 0001, Konrad Lai Dynamic addressing memory arrays with physical locality. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Edwin H. L. Ho, Peter C. Y. Chen Implementation of logical control for a robotic workcell. Search on Bibsonomy ICARCV The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Chin-Chih Chang, Jason Cong, David Zhigang Pan Physical hierarchy generation with routing congestion control. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF routing, interconnect, placement, hierarchy, congestion, physical, deep sub-micron
9Andrea Pacelli A local circuit topology for inductive parasitics. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Prabhakar Kudva, Andrew Sullivan, William E. Dougherty Metrics for structural logic synthesis. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Shabbir H. Batterywala, Narendra V. Shenoy, William Nicholls, Hai Zhou 0001 Track assignment: a desirable intermediate step between global routing and detailed routing. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Muzammil Iqbal, Ahmed Sharkawy, Usman Hameed, Phillip Christie Stochastic wire length sampling for cycle time estimation. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF cycle time estimates, wire sampling, performance modeling, physical design
9Hongyu Chen, Bo Yao, Feng Zhou, Chung-Kuan Cheng Physical Planning Of On-Chip Interconnect Architectures. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Chung-Seok (Andy) Seo, Abhijit Chatterjee A CAD Tool for System-on-Chip Placement and Routing with Free-Space Optical Interconnect. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Tuomas Valtonen, Tero Nurmi, Jouni Isoaho, Hannu Tenhunen Interconnection of autonomous error-tolerant cells. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Shih-Hsu Huang, Chu-Liao Wang An effective floorplan-based power distribution network design methodology under reliability constraints. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Peter Kornerup Reviewing 4-to-2 Adders for Multi-Operand Addition. Search on Bibsonomy ASAP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Himanshu Kaul, Dennis Sylvester Transition Aware Global Signaling (TAGS). Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Abby A. Ilumoka Chip Level Signal Integrity Analysis & Crosstalk Prediction Using Artificial Neural Nets. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Nicholas J. Macias, Lisa J. K. Durbeck Self-Assembling Circuits with Autonomous Fault Handling. Search on Bibsonomy Evolvable Hardware The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Jingcao Hu, Yangdong Deng, Radu Marculescu System-Level Point-to-Point Communication Synthesis using Floorplanning Information. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF System-leve design, low-power, floorplanning, Communication synthesis, point-to-point communication
9Himanshu Kaul, Dennis Sylvester, David T. Blaauw Active shielding of RLC global interconnects. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Raguraman Venkatesan, Jeffrey A. Davis, James D. Meindl A physical model for the transient response of capacitively loaded distributed rlc interconnects. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF distributed rlc lines, overshoot, interconnects, crosstalk, time delay, repeaters, transient response
9H. Bernhard Pogge The next chip challenge: effective methods for viable mixed technology SoCs. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF SoCs (System on a Chip), chip fabrication methods, chip subsector concepts, chip/packing integration
9Phillip J. Restle, Albert E. Ruehli, Steven G. Walker, George Papadopoulos Full-wave PEEC time-domain method for the modeling of on-chipinterconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Ding-Ming Kwai, Behrooz Parhami Scalable Linear Array Architecture with Data-Driven Control for Ultrahigh-Speed Vector Quantization. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF control scalability, data-driven control, pipelined implementation, image compression, systolic array, vector quantization, VLSI architecture
9John Leaney, David Rowe, Tim O'Neill, Steve Hoye, Petros Gionis Measuring the Effectiveness of Computer Based Systems: An Open System Measurement Example. Search on Bibsonomy ECBS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Joseph A. Paradiso, Mark Feldmeier A Compact, Wireless, Self-Powered Pushbutton Controller. Search on Bibsonomy UbiComp The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Paul M. B. Vitányi The Quantum Computing Challenge. Search on Bibsonomy Informatics The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Wing Seung Yuen, Fung Yu Young Slicing floorplan with clustering constraints. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Simon Knowles A Family of Adders. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Kenneth Mackenzie, Eric Hudson, Drew Maule, Sundaresan Jayaraman, Sungmee Park A prototype network embedded in textile fabric. Search on Bibsonomy CASES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Hanspeter Schmid The current-feedback OTA. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Tsutomu Yamaoki, Satoshi Taoka, Toshimasa Watanabe Extracting a planar spanning subgraph of a terminal-vertex graph by solving the independent set problem. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Philip T. Cox, Baoming Song A Formal Model for Component-Based Software. Search on Bibsonomy HCC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Paul Kartschoke, Shervin Hojat Techniques that Improved the Timing Convergence of the Gekko PowerPC Microprocessor. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Michihiro Koibuchi, Akira Funahashi, Akiya Jouraku, Hideharu Amano L-Turn Routing: An Adaptive Routing in Irregular Networks. Search on Bibsonomy ICPP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Christopher Metz 0001 On the Wire: IP-over-Satellite: Internet Connectivity Blasts Off. Search on Bibsonomy IEEE Internet Comput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Qiang Chen, Jeffrey A. Davis, Payman Zarkesh-Ha, James D. Meindl A compact physical via blockage model. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Jae-dong Lee, Kenneth E. Batcher Minimizing Communication in the Bitonic Sort. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF minimizing communication, parallel computing, sorting, sorting networks, omega networks, Bitonic sorting
Displaying result #701 - #800 of 938 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license