|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 8773 occurrences of 3334 keywords
|
|
|
Results
Found 18073 publication records. Showing 18073 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
17 | Marcello Dalpasso, Michele Favalli, Piero Olivo |
Test pattern generation for IDDQ: increasing test quality. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 13th IEEE VLSI Test Symposium (VTS'95), April 30 - May 3, 1995, Princeton, New Jersey, USA, pp. 304-309, 1995, IEEE Computer Society, 0-8186-7000-2. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
ATPG strategy, quiescent power supply current monitoring, logic testing, integrated circuit testing, automatic testing, fault coverage, test pattern generation, CMOS logic circuits, I/sub DDQ/ testing |
17 | Sunil R. Das, H. T. Ho, Wen-Ben Jone, Amiya R. Nayak |
An improved output compaction technique for built-in self-test in VLSI circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 8th International Conference on VLSI Design (VLSI Design 1995), 4-7 January 1995, New Delhi, India, pp. 403-407, 1995, IEEE Computer Society, 0-8186-6905-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
output compaction technique, space compression technique, compaction tree generation, detectable error probability, Boolean difference method, syndrome counter, VLSI, logic testing, probability, built-in self test, built-in self-test, Boolean functions, integrated circuit testing, design for testability, BIST, combinational circuits, combinational circuits, automatic testing, DFT, fault coverage, integrated logic circuits, digital circuits, VLSI circuits, digital integrated circuits |
17 | Anand Raghunathan, Pranav Ashar, Sharad Malik |
Test generation for cyclic combinational circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 8th International Conference on VLSI Design (VLSI Design 1995), 4-7 January 1995, New Delhi, India, pp. 104-109, 1995, IEEE Computer Society, 0-8186-6905-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
cyclic combinational circuits, bus structures, single-stuck-at fault test pattern, test generation problem, program RAM, fault diagnosis, logic testing, integrated circuit testing, network topology, combinational circuits, automatic testing, fault coverage, test pattern generators, formal analysis, data paths, testing algorithm, combinational logic circuits, untestable faults |
17 | Gang Luo, Gregor von Bochmann, Alexandre Petrenko |
Test Selection Based on Communicating Nondeterministic Finite-State Machines Using a Generalized WP-Method. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 20(2), pp. 149-162, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
communicating nondeterministic finite-state machines, generalized Wp-method, conformance relation, trace-equivalence, deterministic finite-state machines, software engineering, software engineering, protocols, software testing, finite state machines, specification languages, program testing, concurrent programs, communication protocols, fault coverage, conformance testing, programming theory, SDL, reachability analysis, test suites, multiprocessing programs, test selection, protocol engineering, test sequence generation, protocol conformance testing |
17 | Claude Thibeault, Yvon Savaria, Jean-Louis Houle |
Test quality of hierarchical defect-tolerant integrated circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 3(1), pp. 93-102, 1992. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
defect level, fault coverage, defect tolerance, defect modeling, Combinatorial analysis |
17 | Bjørg Reppen, Einar J. Aas |
Combined probabilistic testability calculation and compact test generation for PLAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 2(3), pp. 215-227, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
probabilistic testability, Fault coverage, test pattern generation, programmable logic arrays |
17 | Xu Li 0001, Hannes Frey, Nicola Santoro, Ivan Stojmenovic |
Strictly Localized Sensor Self-Deployment for Optimal Focused Coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Mob. Comput. ![In: IEEE Trans. Mob. Comput. 10(11), pp. 1520-1533, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
Coverage, localized algorithms, mobile sensor networks, self-deployment |
17 | Meng-Chun Wueng, Prasan Kumar Sahoo, I-Shyan Hwang |
Time-Synchronized versus Self-Organized K-Coverage Configuration in WSNs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP Workshops ![In: 2011 International Conference on Parallel Processing Workshops, ICPPW 2011, Taipei, Taiwan, Sept. 13-16, 2011, pp. 27-32, 2011, IEEE Computer Society, 978-1-4577-1337-8. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
K-coverage configuration, Eligibility, wireless sensor networks, Fault tolerance |
17 | Daniel Bauer 0002, Owen Rambow |
Increasing Coverage of Syntactic Subcategorization Patterns in FrameNet Using Verbnet. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSC ![In: Proceedings of the 5th IEEE International Conference on Semantic Computing (ICSC 2011), Palo Alto, CA, USA, September 18-21, 2011, pp. 181-184, 2011, IEEE Computer Society, 978-1-4577-1648-5. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
Verbnet, subcategorization pattern, semantic parsing, syntactic coverage, FrameNet |
17 | Ai Chen, Santosh Kumar 0001, Ten-Hwang Lai |
Local Barrier Coverage in Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Mob. Comput. ![In: IEEE Trans. Mob. Comput. 9(4), pp. 491-504, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
Sensor networks, algorithms, coverage, theory, network topology |
17 | Chi Zhang 0001, Yanchao Zhang, Yuguang Fang |
A Coverage Inference Protocol for Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Mob. Comput. ![In: IEEE Trans. Mob. Comput. 9(6), pp. 850-864, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
Connected coverage, wireless sensor network, self-monitoring |
17 | Xiaole Bai, Ziqiu Yun, Dong Xuan, Ten-Hwang Lai, Weijia Jia 0001 |
Optimal Patterns for Four-Connectivity and Full Coverage in Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Mob. Comput. ![In: IEEE Trans. Mob. Comput. 9(3), pp. 435-448, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
full coverage, four-connectivity, optimal deployment pattern, Wireless sensor networks, topology |
17 | Ahmed K. Sadek, Zhu Han 0001, K. J. Ray Liu |
Distributed Relay-Assignment Protocols for Coverage Expansion in Cooperative Wireless Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Mob. Comput. ![In: IEEE Trans. Mob. Comput. 9(4), pp. 505-515, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
Relay assignment, coverage extension, wireless networks, cooperative diversity, virtual MIMO |
17 | Habib M. Ammari, Sajal K. Das 0001 |
A Study of k-Coverage and Measures of Connectivity in 3D Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 59(2), pp. 243-257, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
3D k-covered wireless sensor networks, Reuleaux tetrahedron, connectivity, coverage |
17 | Yang Xiao 0001, Hui Chen 0001, Kui Wu 0001, Bo Sun 0001, Ying Zhang, Xinyu Sun, Chong Liu 0001 |
Coverage and Detection of a Randomized Scheduling Algorithm in Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 59(4), pp. 507-521, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
quality of service, Wireless sensor network, optimization, coverage, network lifetime |
17 | Meysam Argany, Mir Abolfazl Mostafavi, Farid Karimipour |
Voronoi-Based Approaches for Geosensor Networks Coverage Determination and Optimisation: A Survey. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVD ![In: Seventh International Symposium on Voronoi Diagrams in Science and Engineering, ISVD 2010, Quebec, Canada, June 28-30, 2010, pp. 115-123, 2010, IEEE Computer Society, 978-0-7695-4112-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
Voronoi diagram, Delaunay triangulation, coverage problem, geosensor networks |
17 | Takuya Iwai, Naoki Wakamiya, Masayuki Murata 0001 |
Error-Tolerant Coverage Control based on Bio-inspired Attractor Selection Model for Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIT ![In: 10th IEEE International Conference on Computer and Information Technology, CIT 2010, Bradford, West Yorkshire, UK, June 29-July 1, 2010, pp. 723-729, 2010, IEEE Computer Society, 978-0-7695-4108-2. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
Attractor selection model, Wireless sensor networks, Coverage problem |
17 | Stephan Weißleder |
Simulated Satisfaction of Coverage Criteria on UML State Machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICST ![In: Third International Conference on Software Testing, Verification and Validation, ICST 2010, Paris, France, April 7-9, 2010, pp. 117-126, 2010, IEEE Computer Society, 978-0-7695-3990-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
UML State Machines, Testing, Model Transformations, Coverage Criteria |
17 | Odair Jacinto da Silva, Adalberto Nobiato Crespo, Marcos Lordello Chaim, Mário Jino |
Sensitivity of Two Coverage-Based Software Reliability Models to Variations in the Operational Profile. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SSIRI ![In: Fourth International Conference on Secure Software Integration and Reliability Improvement, SSIRI 2010, Singapore, June 9-11, 2010, pp. 113-120, 2010, IEEE Computer Society, 978-0-7695-4086-3. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
software test, software reliability, test coverage, operational profile, testing criteria |
17 | Ranjan Pal, Leana Golubchik |
Analyzing Self-Defense Investments in Internet Security under Cyber-Insurance Coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS ![In: 2010 International Conference on Distributed Computing Systems, ICDCS 2010, Genova, Italy, June 21-25, 2010, pp. 339-347, 2010, IEEE Computer Society, 978-0-7695-4059-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
cyber-insurance, Internet risks, self-defense investments, cyber-insurance coverage, co-operative and non co-operative users |
17 | Dezun Dong, Yunhao Liu 0001, Kebin Liu, Xiangke Liao |
Distributed Coverage in Wireless Ad Hoc and Sensor Networks by Topological Graph Approaches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS ![In: 2010 International Conference on Distributed Computing Systems, ICDCS 2010, Genova, Italy, June 21-25, 2010, pp. 106-115, 2010, IEEE Computer Society, 978-0-7695-4059-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
cycle partition, distributed, connectivity, coverage, topological graph, wireless ad hoc and sensor networks |
17 | Chris Y. T. Ma, David K. Y. Yau, Nung Kwan Yip, Nageswara S. V. Rao, Jiming Chen 0001 |
Stochastic Steepest-Descent Optimization of Multiple-Objective Mobile Sensor Coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS ![In: 2010 International Conference on Distributed Computing Systems, ICDCS 2010, Genova, Italy, June 21-25, 2010, pp. 96-105, 2010, IEEE Computer Society, 978-0-7695-4059-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
Mobile Sensor Coverage, Optimization |
17 | Qing Gu, Bao Tang, Daoxu Chen |
Optimal Regression Testing Based on Selective Coverage of Test Requirements. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPA ![In: IEEE International Symposium on Parallel and Distributed Processing with Applications, ISPA 2010, Taipei, Taiwan, 6-9 September 2010, pp. 419-426, 2010, IEEE Computer Society, 978-1-4244-8095-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
Selective coverage, Software testing, Regression testing, Test suite reduction, Test requirements |
17 | Chow-Sing Lin, Chih-Chung Chen, An-Chi Chen |
Partitioning Sensors by Node Coverage Grouping in Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPA ![In: IEEE International Symposium on Parallel and Distributed Processing with Applications, ISPA 2010, Taipei, Taiwan, 6-9 September 2010, pp. 306-312, 2010, IEEE Computer Society, 978-1-4244-8095-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
node coverage grouping, wireless sensor networks, partitioning, power saving |
17 | Kuei-Ping Shih, Chien-Min Chou, I-Hsin Liu, Chun-Chih Li |
On Barrier Coverage in Wireless Camera Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AINA ![In: 24th IEEE International Conference on Advanced Information Networking and Applications, AINA 2010, Perth, Australia, 20-13 April 2010, pp. 873-879, 2010, IEEE Computer Society, 978-0-7695-4018-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
Wireless Camera Sensor Networks, Field of View, Barrier Coverage |
17 | Aritra Hazra, Priyankar Ghosh, Pallab Dasgupta, Partha Pratim Chakrabarti |
Coverage Management with Inline Assertions and Formal Test Points. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: VLSI Design 2010: 23rd International Conference on VLSI Design, 9th International Conference on Embedded Systems, Bangalore, India, 3-7 January 2010, pp. 140-145, 2010, IEEE Computer Society, 978-0-7695-3928-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
Simulation, Verification, Coverage, Test Plan |
17 | Mark Horowitz, Metha Jeeradit, Frances Lau, Sabrina Liao, ByongChan Lim, James Mao |
Fortifying analog models with equivalence checking and coverage analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 47th Design Automation Conference, DAC 2010, Anaheim, California, USA, July 13-18, 2010, pp. 425-430, 2010, ACM, 978-1-4503-0002-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
analog validation, model-first design, design methodology, fault coverage, equivalence checking, formal validation |
17 | Gaurav S. Kasbekar, Yigal Bejerano, Saswati Sarkar |
Lifetime and coverage guarantees through distributed coordinate-free sensor activation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MobiCom ![In: Proceedings of the 15th Annual International Conference on Mobile Computing and Networking, MOBICOM 2009, Beijing, China, September 20-25, 2009, pp. 169-180, 2009, ACM, 978-1-60558-702-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
coordinate-free, wireless sensor networks, approximation algorithms, distributed algorithms, coverage, network lifetime |
17 | Fangyang Shen, Chunlei Liu 0010, Jun Zhang |
A Distributed Coverage-Aware Sleep Scheduling Algorithm for Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITNG ![In: Sixth International Conference on Information Technology: New Generations, ITNG 2009, Las Vegas, Nevada, USA, 27-29 April 2009, pp. 524-527, 2009, IEEE Computer Society, 978-0-7695-3596-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Wireless sensor networks, network lifetime, sensor systems, network coverage |
17 | E. S. F. Najumudheen, Rajib Mall, Debasis Samanta |
A Dependence Graph-Based Test Coverage Analysis Technique for Object-Oriented Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITNG ![In: Sixth International Conference on Information Technology: New Generations, ITNG 2009, Las Vegas, Nevada, USA, 27-29 April 2009, pp. 763-768, 2009, IEEE Computer Society, 978-0-7695-3596-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Test coverage analysis, software testing, object-oriented program, dependence graph |
17 | Ali Taleghani, Joanne M. Atlee |
State-Space Coverage Estimation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASE ![In: ASE 2009, 24th IEEE/ACM International Conference on Automated Software Engineering, Auckland, New Zealand, November 16-20, 2009, pp. 459-467, 2009, IEEE Computer Society, 978-0-7695-3891-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
coverage estimation, Model checking, automatic verification |
17 | Martin Weiglhofer, Franz Wotawa |
Improving Coverage Based Test Purposes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
QSIC ![In: Proceedings of the Ninth International Conference on Quality Software, QSIC 2009, Jeju, Korea, August 24-25, 2009, pp. 219-228, 2009, IEEE Computer Society, 978-0-7695-3828-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
coverage based testing, tgv, model-based testing, conformance testing, LOTOS, test purposes |
17 | Gordon Fraser 0001, Franz Wotawa |
Increasing Diversity in Coverage Test Suites Using Model Checking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
QSIC ![In: Proceedings of the Ninth International Conference on Quality Software, QSIC 2009, Jeju, Korea, August 24-25, 2009, pp. 211-218, 2009, IEEE Computer Society, 978-0-7695-3828-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
test redundancy, test diversity, model checking, test case generation, specification based testing, test coverage |
17 | Tiago L. Alves, Joost Visser 0001 |
Static Estimation of Test Coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SCAM ![In: Ninth IEEE International Working Conference on Source Code Analysis and Manipulation, SCAM 2009, Edmonton, Alberta, Canada, September 20-21, 2009, pp. 55-64, 2009, IEEE Computer Society, 978-0-7695-3793-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
metrics, static analysis, estimation, slicing, test coverage |
17 | Se-Jin Kim, Seung-Yeon Kim, Byung-Bog Lee, Seungwan Ryu, Hyong-Woo Lee, Choong-Ho Cho |
Multi-Hop Relay Based Coverage Extension in the IEEE802.16j Based Mobile WiMAX Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NCM (1) ![In: NCM 2008, The Fourth International Conference on Networked Computing and Advanced Information Management, Gyeongju, Korea, September 2-4, 2008 - Volume 1, pp. 516-522, 2008, IEEE Computer Society, 978-0-7695-3322-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
IEEE802.16j, NBTC, WBTC, Coverage Extension, Cell Planning, Cost Minimization, WiBro, Multi-hop Relay |
17 | Khin Haymar Saw Hla, YoungSik Choi, Jong Sou Park |
Self Organized Mobility in Nanosensor Network Based on Particle Swarm Optimization and Coverage Criteria. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NCM (1) ![In: NCM 2008, The Fourth International Conference on Networked Computing and Advanced Information Management, Gyeongju, Korea, September 2-4, 2008 - Volume 1, pp. 636-641, 2008, IEEE Computer Society, 978-0-7695-3322-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
nanosensor network, nanorobots, particle swarm optimization, coverage |
17 | Shinji Inoue, Shigeru Yamada |
Two-Dimensional Software Reliability Assessment with Testing-Coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SSIRI ![In: Second International Conference on Secure System Integration and Reliability Improvement, SSIRI 2008, July 14-17, 2008, Yokohama, Japan, pp. 150-157, 2008, IEEE Computer Society, 978-0-7695-3266-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Cobb-Douglas Production Function, Two-Dimensional NHPP, Software Reliability Assessment Measures, Parameter Estimation, Testing-Coverage, Software Reliability Growth Model, Goodness-of-Fit |
17 | Mohamed Chedly Ghedira, Walid Ben-Ameur, Hossam Afifi |
A Novel Route Guidance Algorithm with Maximum Coverage and Minimum Handover for Vehicular Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICN ![In: Seventh International Conference on Networking (ICN 2008), 13-18 April 2008, Cancun, Mexico, pp. 692-697, 2008, IEEE Computer Society, 978-0-7695-3106-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Optimization, Coverage, Vehicular networks, Route guidance |
17 | Ronaldo Menezes, Francisco Martins, Francisca Emanuelle Vieira, Rafael Silva, Márcio Braga |
A model for terrain coverage inspired by ant's alarm pheromones. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2007 ACM Symposium on Applied Computing (SAC), Seoul, Korea, March 11-15, 2007, pp. 728-732, 2007, ACM, 1-59593-480-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
terrain coverage, software testing, swarm intelligence, ant-colony optimization |
17 | Nicolas Oury |
Pattern matching coverage checking with dependent types using set approximations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLPV ![In: Proceedings of the ACM Workshop Programming Languages meets Program Verification, PLPV 2007, Freiburg, Germany, October 5, 2007, pp. 47-56, 2007, ACM, 978-1-59593-677-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
coverage checking, set approximation, pattern matching |
17 | Xia Cai, Michael R. Lyu |
Software Reliability Modeling with Test Coverage: Experimentation and Measurement with A Fault-Tolerant Software Project. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSRE ![In: ISSRE 2007, The 18th IEEE International Symposium on Software Reliability, Trollhättan, Sweden, 5-9 November 2007, pp. 17-26, 2007, IEEE Computer Society, 0-7695-3024-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
software testing, test coverage, software reliability modeling, fault-tolerant software |
17 | Lan Lin, Hyunyoung Lee |
Distributed algorithms for dynamic coverage in sensor networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PODC ![In: Proceedings of the Twenty-Sixth Annual ACM Symposium on Principles of Distributed Computing, PODC 2007, Portland, Oregon, USA, August 12-15, 2007, pp. 392-393, 2007, ACM, 978-1-59593-616-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
sensor networks, distributed algorithms, sensor coverage |
17 | Svetlana Larionova, Nuno Almeida, Lino Marques, Anibal T. de Almeida |
Olfactory coordinated area coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Auton. Robots ![In: Auton. Robots 20(3), pp. 251-260, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Complete coverage, Robot olfaction, Cleaning robots, Robot cooperation |
17 | Yu Gu 0001, Tian He 0001 |
uScan: a lightweight two-tier global sensing coverage design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SenSys ![In: Proceedings of the 4th International Conference on Embedded Networked Sensor Systems, SenSys 2006, Boulder, Colorado, USA, October 31 - November 3, 2006, pp. 399-400, 2006, ACM, 1-59593-343-3. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
sensor networks, energy conservation, sensing coverage |
17 | Raúl A. Santelices, Saurabh Sinha, Mary Jean Harrold |
Subsumption of program entities for efficient coverage and monitoring. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SOQUA ![In: Third International Workshop on Software Quality Assurance, SOQUA 2006, Portland, Oregon, USA, November 6, 2006, pp. 2-5, 2006, ACM, 1-59593-584-3. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
entity hierarchies, predicate conditions, subsumption, coverage criteria |
17 | Gilbert Laporte, Juan A. Mesa, Francisco A. Ortega, Ignacio Sevillano |
Maximizing Trip Coverage in the Location of a Single Rapid Transit Alignment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Ann. Oper. Res. ![In: Ann. Oper. Res. 136(1), pp. 49-63, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
trip coverage, heuristics, effectiveness, transit systems |
17 | Renée C. Bryce, Charles J. Colbourn |
Test prioritization for pairwise interaction coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGSOFT Softw. Eng. Notes ![In: ACM SIGSOFT Softw. Eng. Notes 30(4), pp. 1-7, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
biased covering arrays, pairwise interaction coverage, greedy algorithm, covering arrays, software interaction testing, mixed-level covering arrays |
17 | Renée C. Bryce, Charles J. Colbourn |
Test prioritization for pairwise interaction coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
A-MOST ![In: Proceedings of the ICSE 2005 Workshop on Advances in Model-Based Software Testing, A-MOST 2005, St. Louis, Missouri, USA, 2005, ACM, 1-59593-115-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
biased covering arrays, pairwise interaction coverage, greedy algorithm, covering arrays, software interaction testing, mixed-level covering arrays |
17 | Xiaoyan Li, Thu D. Nguyen, Richard P. Martin |
Using adaptive range control to optimize 1-hop broadcast coverage in dense wireless networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SenSys ![In: Proceedings of the 1st International Conference on Embedded Networked Sensor Systems, SenSys 2003, Los Angeles, California, USA, November 5-7, 2003, pp. 314-315, 2003, ACM, 1-58113-707-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
range control, wireless networks, broadcast, coverage, probabilistic modeling, power control |
17 | Hillery C. Hunter, Wen-mei W. Hwu |
Code coverage and input variability: effects on architecture and compiler research. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, CASES 2002, Greenoble, France, October 8-11, 2002, pp. 79-87, 2002, ACM, 1-58113-575-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
architecture, compiler, benchmarks, DSP, telecommunications, code coverage |
17 | Christina Pavlopoulou, Michal Young |
Residual Test Coverage Monitoring. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSE ![In: Proceedings of the 1999 International Conference on Software Engineering, ICSE' 99, Los Angeles, CA, USA, May 16-22, 1999., pp. 277-284, 1999, ACM, 1-58113-074-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Java, testing, coverage, instrumentation |
17 | Mike Benjamin, Daniel Geist, Alan Hartman, Gérard Mas, Ralph Smeets, Yaron Wolfsthal |
A Study in Coverage-Driven Test Generation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 36th Conference on Design Automation, New Orleans, LA, USA, June 21-25, 1999., pp. 970-975, 1999, ACM Press. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
transition coverage, test generation, formal models, functional verification |
17 | Cheer-Sun D. Yang, Amie L. Souter, Lori L. Pollock |
All-du-path Coverage for Parallel Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSTA ![In: Proceedings of ACM SIGSOFT International Symposium on Software Testing and Analysis, ISSTA 1998, Clearwater Beach, Florida, USA, March 2-5, 1998, pp. 153-162, 1998, ACM, 0-89791-971-8. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
all-du-path coverage, parallel programming, testing tool |
17 | Hong Zhu 0002, Patrick A. V. Hall, John H. R. May |
Software Unit Test Coverage and Adequacy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Comput. Surv. ![In: ACM Comput. Surv. 29(4), pp. 366-427, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
comparing testing effectiveness, software unit test, fault detection, test coverage, test adequacy criteria, testing methods |
17 | V. Kim, T. Chen |
Assessing SRAM test coverage for sub-micron CMOS technologies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 15th IEEE VLSI Test Symposium (VTS'97), April 27-May 1, 1997, Monterey, California, USA, pp. 24-30, 1997, IEEE Computer Society, 0-8186-7810-0. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
submicron CMOS technologies, SRAM test coverage assessment, memory fault probability model, memory array, data retention faults, memory fault coverages, memory test algorithms, functional fault class coverages, 0.5 to 1 mum, stuck-at faults, transition faults, stuck-open faults, coupling faults, physical defects, CMOS memory circuits |
17 | Irith Pomeranz, Sudhakar M. Reddy |
EXTEST: a method to extend test sequences of synchronous sequential circuits to increase the fault coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 15th IEEE VLSI Test Symposium (VTS'97), April 27-May 1, 1997, Monterey, California, USA, pp. 329-335, 1997, IEEE Computer Society, 0-8186-7810-0. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
EXTEST, test generation procedure, logic testing, fault coverage, synchronous sequential circuits, test sequences |
17 | Srinivas Devadas, Abhijit Ghosh, Kurt Keutzer |
An observability-based code coverage metric for functional simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1996 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1996, San Jose, CA, USA, November 10-14, 1996, pp. 418-425, 1996, IEEE Computer Society / ACM, 0-8186-7597-7. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
verification, code coverage, functional simulation |
17 | Ned Greene |
Hierarchical Polygon Tiling with Coverage Masks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGGRAPH ![In: Proceedings of the 23rd Annual Conference on Computer Graphics and Interactive Techniques, SIGGRAPH 1996, New Orleans, LA, USA, August 4-9, 1996, pp. 65-74, 1996, ACM, 0-89791-746-4. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
coverage mask, visibility, tiling, antialiasing, octree, BSP tree, recursive subdivision |
17 | Robert P. Treuer, Vinod K. Agarwal, Hideo Fujiwara |
A New Built-In Self-Test Design for PLA's with High Fault Coverage and Low Overhead. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 36(3), pp. 369-373, 1987. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP DOI BibTeX RDF |
output response compression, parity bits, Built-in self test (BIST), fault models, fault coverage, VLSI design, test pattern generation, programmable logic array (PLA) |
17 | Janusz Rajski, Jerzy Tyszer |
The Influence of Masking Phenomenon on Coverage Capability of Single Fault Test Sets in PLA's. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 35(1), pp. 81-85, 1986. The full citation details ...](Pics/full.jpeg) |
1986 |
DBLP DOI BibTeX RDF |
PLA testing, contact faults, fault coverage, fault masking, multiple fault detection, Combinatorial analysis |
17 | Vinod K. Agarwal, Gerald M. Masson |
Recursive Coverage Projection of Test Sets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 28(11), pp. 865-870, 1979. The full citation details ...](Pics/full.jpeg) |
1979 |
DBLP DOI BibTeX RDF |
recursive projection, internal fanout-free networks, Consistency, fault detection, coverage, multiple faults |
17 | Jack J. Stiffler |
The Reliability of a Fault-Tolerant Configuration Having Variable Coverage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 27(12), pp. 1195-1197, 1978. The full citation details ...](Pics/full.jpeg) |
1978 |
DBLP DOI BibTeX RDF |
redundancy, Fault coverage, reliability modeling |
16 | Andrew DeOrio, Ilya Wagner, Valeria Bertacco |
Dacota: Post-silicon validation of the memory subsystem in multi-core designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 15th International Conference on High-Performance Computer Architecture (HPCA-15 2009), 14-18 February 2009, Raleigh, North Carolina, USA, pp. 405-416, 2009, IEEE Computer Society, 978-1-4244-2932-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
16 | Hojun Jaygarl, Carl K. Chang, Sunghun Kim 0001 |
Practical Extensions of a Randomized Testing Tool. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMPSAC (1) ![In: Proceedings of the 33rd Annual IEEE International Computer Software and Applications Conference, COMPSAC 2009, Seattle, Washington, USA, July 20-24, 2009. Volume 1, pp. 148-153, 2009, IEEE Computer Society, 978-0-7695-3726-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
16 | Gábor Kovács, Gábor Árpád Németh, Mahadevan Subramaniam, Zoltán Pap |
Optimal String Edit Distance Based Test Suite Reduction for SDL Specifications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SDL Forum ![In: SDL 2009: Design for Motes and Mobiles, 14th International SDL Forum, Bochum, Germany, September 22-24, 2009, Proceedings, pp. 82-97, 2009, Springer, 978-3-642-04553-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
SDL based test selection, MSC test cases, string edit distance |
16 | Irith Pomeranz, Sudhakar M. Reddy |
The Effect of Filling the Unspecified Values of a Test Set on the Test Set Quality. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: VLSI Design 2009: Improving Productivity through Higher Abstraction, The 22nd International Conference on VLSI Design, New Delhi, India, 5-9 January 2009, pp. 215-220, 2009, IEEE Computer Society, 978-0-7695-3506-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
16 | Wenhua Wang, Yu Lei 0001, Sreedevi Sampath, Raghu Kacker, Rick Kuhn, James Lawrence |
A combinatorial approach to building navigation graphs for dynamic web applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSM ![In: 25th IEEE International Conference on Software Maintenance (ICSM 2009), September 20-26, 2009, Edmonton, Alberta, Canada, pp. 211-220, 2009, IEEE Computer Society, 978-1-4244-4897-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
16 | Mojtaba Mehrara, Todd M. Austin |
Exploiting selective placement for low-cost memory protection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 5(3), pp. 14:1-14:24, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Partial memory protection, selective placement, transient faults, fault-tolerant design, memory system design |
16 | Usman Farooq, Chiou Peng Lam, Huaizhong Li |
Towards Automated Test Sequence Generation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Australian Software Engineering Conference ![In: 19th Australian Software Engineering Conference (ASWEC 2008), March 25-28, 2008, Perth, Australia, pp. 441-450, 2008, IEEE Computer Society, 978-0-7695-3100-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Model Based Testing, Colored Petri Nets, Activity Diagram |
16 | Cornelius Hellge, Thomas Schierl, Jörg Huschke, Thomas Rusert, Markus Kampmann, Thomas Wiegand |
Temporal scalability and layered transmission. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP ![In: Proceedings of the International Conference on Image Processing, ICIP 2008, October 12-15, 2008, San Diego, California, USA, pp. 2048-2051, 2008, IEEE, 978-1-4244-1765-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
16 | Seung-Yeon Kim, Se-Jin Kim, Seungwan Ryu, Hyong-Woo Lee, Choong-Ho Cho |
Performance analysis of single-frame mode and multi-frame mode in IEEE 802.16j MMR system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PIMRC ![In: Proceedings of the IEEE 19th International Symposium on Personal, Indoor and Mobile Radio Communications, PIMRC 2008, 15-18 September 2008, Cannes, French Riviera, France, pp. 1-5, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
16 | Andres Arjona, Antti Ylä-Jääski |
Mobile IP as an Enabling Technology for VoIP in Metropolitan Wireless Mesh Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTC Spring ![In: Proceedings of the 67th IEEE Vehicular Technology Conference, VTC Spring 2008, 11-14 May 2008, Singapore, pp. 2769-2773, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
16 | Shengbo Chen, Huaikou Miao, Zhong-sheng Qian |
Modeling and Verifying Web Browser Interactions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APSEC ![In: 15th Asia-Pacific Software Engineering Conference (APSEC 2008), 3-5 December 2008, Beijing, China, pp. 351-358, 2008, IEEE Computer Society, 978-0-7695-3446-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
16 | Meltem Sönmez Turan, Çagdas Çalik, Nurdan Buz Saran, Ali Doganaksoy |
New Distinguishers Based on Random Mappings against Stream Ciphers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SETA ![In: Sequences and Their Applications - SETA 2008, 5th International Conference, Lexington, KY, USA, September 14-18, 2008, Proceedings, pp. 30-41, 2008, Springer, 978-3-540-85911-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Random Mappings, TMTO Attacks, Stream Ciphers, Randomness tests |
16 | Roy Patrick Tan, Stephen Edwards |
Evaluating Automated Unit Testing in Sulu. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICST ![In: First International Conference on Software Testing, Verification, and Validation, ICST 2008, Lillehammer, Norway, April 9-11, 2008, pp. 62-71, 2008, IEEE Computer Society, 978-0-7695-3127-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
automated unit testing, software engineering, software testing, programming languages, design by contract, test evaluation |
16 | Dazhi Zhang, Wenhua Wang, Donggang Liu, Yu Lei 0001, David Chenho Kung |
Reusing Existing Test Cases for Security Testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSRE ![In: 19th International Symposium on Software Reliability Engineering (ISSRE 2008), 11-14 November 2008, Seattle/Redmond, WA, USA, pp. 323-324, 2008, IEEE Computer Society, 978-0-7695-3405-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
16 | Heon-Mo Koo, Prabhat Mishra 0001 |
Specification-based compaction of directed tests for functional validation of pipelined processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 6th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2008, Atlanta, GA, USA, October 19-24, 2008, pp. 137-142, 2008, ACM, 978-1-60558-470-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
processor validation, test compaction |
16 | François-Fabien Ferhani, Nirmal R. Saxena, Edward J. McCluskey, Phil Nigh |
How Many Test Patterns are Useless? ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 26th IEEE VLSI Test Symposium (VTS 2008), April 27 - May 1, 2008, San Diego, California, USA, pp. 23-28, 2008, IEEE Computer Society, 978-0-7695-3123-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Test Patterns, Test Economics, Truncation |
16 | Liang Liu 0001, Xi Zhang 0005, Huadong Ma |
Percolation-theory based density derivations of wireless sensor network nodes for preventing exposure paths. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CISS ![In: 42nd Annual Conference on Information Sciences and Systems, CISS 2008, Princeton, NJ, USA, 19-21 March 2008, pp. 836-841, 2008, IEEE, 978-1-4244-2246-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
16 | Guiling Wang 0001, Guohong Cao, Piotr Berman, Thomas F. La Porta |
Bidding Protocols for Deploying Mobile Sensors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Mob. Comput. ![In: IEEE Trans. Mob. Comput. 6(5), pp. 563-576, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
bidding protocol, distributed algorithm, Mobile sensor networks, sensor deployment |
16 | Fred Daneshgaran, Massimiliano Laddomada, Marina Mondin |
Connection between system parameters and localization probability in network of randomly distributed nodes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Wirel. Commun. ![In: IEEE Trans. Wirel. Commun. 6(12), pp. 4383-4389, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Mats Per Erik Heimdahl, George Devaraj |
On the effect of test-suite reduction on automatically generated model-based tests. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Autom. Softw. Eng. ![In: Autom. Softw. Eng. 14(1), pp. 37-57, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Test reduction, Fault finding, Specification-based testing, Automated test generation, Model checkers |
16 | Ming Ma 0005, Yuanyuan Yang 0001 |
Adaptive Triangular Deployment Algorithm for Unattended Mobile Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 56(7), 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
sensor networks, adaptive algorithms, mobile sensors, Sensor deployment, self-organizing networks |
16 | Tai-Ying Jiang, Chien-Nan Jimmy Liu, Jing-Yang Jou |
Observability Analysis on HDL Descriptions for Effective Functional Validation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(8), pp. 1509-1521, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Ruiqin Zhao, Aijun Wen, Zengji Liu, Peng Yue |
Maximum Life-Time Localized Broadcast Routing in MANET. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NPC ![In: Network and Parallel Computing, IFIP International Conference, NPC 2007, Dalian, China, September 18-21, 2007, Proceedings, pp. 193-202, 2007, Springer, 978-3-540-74783-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
life-time, ML2B, localized, Broadcast, wireless ad hoc networks |
16 | Harri Holma, Timo Ahonpää, Elisa Prieur |
UMTS900 Co-Existence with GSM900. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTC Spring ![In: Proceedings of the 65th IEEE Vehicular Technology Conference, VTC Spring 2007, 22-25 April 2007, Dublin, Ireland, pp. 778-782, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Tero Isotalo, Jukka Lempiäinen |
HSDPA Measurements for Indoor DAS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTC Spring ![In: Proceedings of the 65th IEEE Vehicular Technology Conference, VTC Spring 2007, 22-25 April 2007, Dublin, Ireland, pp. 1127-1130, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Sying-Jyan Wang, Tung-Hua Yeh |
High-level test synthesis for delay fault testability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2007 Design, Automation and Test in Europe Conference and Exposition, DATE 2007, Nice, France, April 16-20, 2007, pp. 45-50, 2007, EDA Consortium, San Jose, CA, USA, 978-3-9810801-2-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Deepak Mathaikutty, Sandeep K. Shukla, Sreekumar V. Kodakara, David J. Lilja, Ajit Dingankar |
Design fault directed test generation for microprocessor validation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2007 Design, Automation and Test in Europe Conference and Exposition, DATE 2007, Nice, France, April 16-20, 2007, pp. 761-766, 2007, EDA Consortium, San Jose, CA, USA, 978-3-9810801-2-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Wei-Fang Cheng, Xiangke Liao, Changxiang Shen, Dezun Dong |
EETO: An Energy-Efficient Target-Oriented Clustering Protocol in Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCIT ![In: Distributed Computing and Internet Technology, 4th International Conference, ICDCIT 2007, Bangalore, India, December 17-20, Proceedings, pp. 31-41, 2007, Springer, 978-3-540-77112-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Yongyan Zheng, Jiong Zhou, Paul Krause |
A Model Checking based Test Case Generation Framework forWeb Services. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITNG ![In: Fourth International Conference on Information Technology: New Generations (ITNG 2007), 2-4 April 2007, Las Vegas, Nevada, USA, pp. 715-722, 2007, IEEE Computer Society, 978-0-7695-2776-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Kiran Lakhotia, Mark Harman, Phil McMinn |
A multi-objective approach to search-based test data generation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GECCO ![In: Genetic and Evolutionary Computation Conference, GECCO 2007, Proceedings, London, England, UK, July 7-11, 2007, pp. 1098-1105, 2007, ACM, 978-1-59593-697-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
multi-objective genetic algorithms, evolutionary testing, automated test data generation |
16 | Maytham Safar, Sami Habib |
Hard Constrained Vertex-Cover Communication Algorithm for WSN. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUC ![In: Embedded and Ubiquitous Computing, International Conference, EUC 2007, Taipei, Taiwan, December 17-20, 2007, Proceedings, pp. 635-649, 2007, Springer, 978-3-540-77091-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
discrete space, Wireless sensor network, optimization, communication, vertex cover, evolutionary approach |
16 | Andres Arjona, Sami Takala |
The Google Muni Wifi Network--Can it Compete with Cellular Voice? ![Search on Bibsonomy](Pics/bibsonomy.png) |
AICT ![In: Third Advanced International Conference on Telecommunications (AICT 2007), May 13-19, 2007, Mauritius, pp. 11, 2007, IEEE Computer Society, 0-7695-2843-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Muni WiFi, VoIP performance, evaluation, mesh, Google, Skype |
16 | Ajitha Rajan |
Automated requirements-based test case generation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGSOFT Softw. Eng. Notes ![In: ACM SIGSOFT Softw. Eng. Notes 31(6), pp. 1-2, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | R. K. Singh, Pravin Chandra, Yogesh Singh |
An evaluation of Boolean expression testing techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGSOFT Softw. Eng. Notes ![In: ACM SIGSOFT Softw. Eng. Notes 31(5), pp. 1-6, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
mutant, software testing, fault, branch testing |
16 | Ali Habibi, Sofiène Tahar, Amer Samarah, Donglin Li, Otmane Aït Mohamed |
Efficient assertion based verification using TLM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Proceedings of the Conference on Design, Automation and Test in Europe, DATE 2006, Munich, Germany, March 6-10, 2006, pp. 106-111, 2006, European Design and Automation Association, Leuven, Belgium, 3-9810801-1-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Satoshi Kataoka, Shinichi Honiden |
Multi-Robot Positioning Model: Multi-Agent Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIMCA/IAWTIC ![In: 2006 International Conference on Computational Intelligence for Modelling Control and Automation (CIMCA 2006), International Conference on Intelligent Agents, Web Technologies and Internet Commerce (IAWTIC 2006), 29 November - 1 December 2006, Sydney, Australia, pp. 112, 2006, IEEE Computer Society, 0-7695-2731-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Sreedevi Sampath, Sara Sprenkle, Emily Gibson, Lori L. Pollock |
Web Application Testing with Customized Test Requirements - An Experimental Comparison Study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSRE ![In: 17th International Symposium on Software Reliability Engineering (ISSRE 2006), 7-10 November 2006, Raleigh, North Carolina, USA, pp. 266-278, 2006, IEEE Computer Society, 0-7695-2684-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Chun-Hsien Wu, Kuo-Chuan Lee, Yeh-Ching Chung |
A Delaunay Triangulation Based Method for Wireless Sensor Network Deployment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS (1) ![In: 12th International Conference on Parallel and Distributed Systems, ICPADS 2006, Minneapolis, Minnesota, USA, July 12-15, 2006, pp. 253-260, 2006, IEEE Computer Society, 0-7695-2612-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
|
|