The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for FPGA with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1992 (21) 1993 (21) 1994 (76) 1995 (112) 1996 (116) 1997 (123) 1998 (227) 1999 (271) 2000 (307) 2001 (295) 2002 (365) 2003 (551) 2004 (702) 2005 (754) 2006 (1038) 2007 (989) 2008 (1061) 2009 (854) 2010 (720) 2011 (744) 2012 (743) 2013 (885) 2014 (852) 2015 (885) 2016 (898) 2017 (1035) 2018 (1028) 2019 (1243) 2020 (1074) 2021 (1141) 2022 (1044) 2023 (1081) 2024 (230)
Publication types (Num. hits)
article(5776) book(12) data(5) incollection(50) inproceedings(15376) phdthesis(235) proceedings(32)
Venues (Conferences, Journals, ...)
FPGA(1618) FPL(1411) FCCM(710) CoRR(617) FPT(537) ISCAS(393) Microprocess. Microsystems(365) ReConFig(346) IEEE Access(266) IEEE Trans. Very Large Scale I...(261) DATE(256) DSD(247) ARC(232) IEEE Trans. Comput. Aided Des....(200) IPDPS(198) DAC(197) More (+10 of total 2083)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 6285 occurrences of 1975 keywords

Results
Found 21486 publication records. Showing 21486 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
26Devu Manikantan Shila, Vivek Venugopal Design, implementation and security analysis of hardware trojan threats in FPGA (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
26Monther Abusultan, Sunil P. Khatri FPGA LUT design for wide-band dynamic voltage and frequency scaled operation (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
26Yosi Ben-Asher, Jacob Gendel, Gadi Haber, Oren Segal, Yousef Shajrawi 1K manycore FPGA shared memory architecture for SOC (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
26Wenyi Feng, Jonathan W. Greene, Kristofer Vorwerk, Val Pevzner, Arun Kundu Rent's rule based FPGA packing for routability optimization. Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
26Roshan Silwal, Mohammed Y. Niamat Asynchronous physical unclonable function using FPGA-based self-timed ring oscillator (abstract only). Search on Bibsonomy FPGA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
26Jungwook Choi, Rob A. Rutenbar Video-rate stereo matching using markov random field TRW-S inference on a hybrid CPU+FPGA computing platform. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Masakazu Hioki, Toshihiro Sekigawa, Tadashi Nakagawa, Hanpei Koike, Yohei Matsumoto, Takashi Kawanami, Toshiyuki Tsutsumi Fully-functional FPGA prototype with fine-grain programmable body biasing. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Peng Chen 0004, Chao Wang 0003, Xi Li 0003, Xuehai Zhou Acceleration of the long read mapping on a PC-FPGA architecture (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Bruno da Silva 0001, An Braeken, Erik H. D'Hollander, Abdellah Touhafi, Jan G. Cornelis, Jan Lemeire Performance and toolchain of a combined GPU/FPGA desktop (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Jinsong Mao, Hao Zhou, Haijiang Ye, Jinmei Lai FPGA bitstream compression and decompression using LZ and golomb coding (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Hasan Baig, Jeong-A Lee A novel run-time auto-reconfigurable FPGA architecture for fast fault recovery with backward compatibility (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Eric S. Chung, Michael Papamichael, Gabriel Weisz, James C. Hoe Cross-platform FPGA accelerator development using CoRAM and CONNECT. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Meng Yang 0013, Jiarong Tong, A. E. A. Almaini Indirect connection aware attraction for FPGA clustering (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Chia-Hsiang Chen, Shiming Song, Zhengya Zhang An FPGA-based transient error simulator for evaluating resilient system designs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Yun Qu, Viktor K. Prasanna Scalable high-throughput architecture for large balanced tree structures on FPGA (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Chao Wang 0003, Xi Li 0003, Xuehai Zhou, Jim Martin 0001, Ray C. C. Cheung Genome sequencing using mapreduce on FPGA with multiple hardware accelerators (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Qian Zhao 0001, Kazuki Inoue, Motoki Amagasaki, Masahiro Iida, Morihiro Kuga, Toshinori Sueyoshi A novel FPGA design framework with VLSI post-routing performance analysis (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26David Uliana, Krzysztof Kepa, Peter Athanas FPGA-based HPC application design for non-experts (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Seyyed Ahmad Razavi, Morteza Saheb Zamani Improving bitstream compression by modifying FPGA architecture. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Andrew Love, Peter Athanas FPGA meta-data management system for accelerating implementation time with incremental compilation (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Yuxin Wang, Peng Li 0031, Peng Zhang 0007, Chen Zhang 0001, Jason Cong Automatic multidimensional memory partitioning for FPGA-based accelerators (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Sheng Wei 0001, Jason Xin Zheng, Miodrag Potkonjak Low power FPGA design using post-silicon device aging (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Christos Kyrkou, Christos-Savvas Bouganis, Theocharis Theocharides FPGA-based acceleration of cascaded support vector machines for embedded applications (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Michael J. Wirthlin, Joshua E. Jensen, Alex Wilson, William Howes, Shi-Jie Wen, Rick Wong Placement of repair circuits for in-field FPGA repair. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Vivek Venugopal, Devu Manikantan Shila Hardware acceleration of TEA and XTEA algorithms on FPGA, GPU and multi-core processors (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Swapnil Haria, Viktor K. Prasanna AutoMapper: an automated tool for optimal hardware resource allocation for networking applications on FPGA (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Hao Wang, Jyh-Charn Liu An FPGA based parallel architecture for music melody matching. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Jeremy Fowers, Greg Stitt Dynafuse: dynamic dependence analysis for FPGA pipeline fusion and locality optimizations. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Zilong Wang, Sitao Huang, Lanjun Wang, Hao Li, Yu Wang 0002, Huazhong Yang Accelerating subsequence similarity search based on dynamic time warping distance with FPGA. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Oluseyi A. Ayorinde, Benton H. Calhoun Circuit optimizations to minimize energy in the global interconnect of a low-power-FPGA (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Da Tong, Lu Sun, Kiran Kumar Matam, Viktor K. Prasanna High throughput and programmable online trafficclassifier on FPGA. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Anh-Tuan Hoang, Takeshi Fujino Hybrid masking using intra-masking dual-rail memory on LUT for SCA-Resistant AES implementation on FPGA (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Patrick Cooke, Jeremy Fowers, Lee Hunt, Greg Stitt A high-performance, low-energy FPGA accelerator for correntropy-based feature tracking (abstract only). Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Sai Rahul Chalamalasetti, Kevin T. Lim, Mitch Wright, Alvin AuYoung, Parthasarathy Ranganathan, Martin Margala An FPGA memcached appliance. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Hui Yan Cheah, Suhaib A. Fahmy, Douglas L. Maskell, Chidamber Kulkarni A lean FPGA soft processor built using a DSP block. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Minxi Jin, Tsutomu Maruyama A real-time stereo vision system using a tree-structured dynamic programming on FPGA. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Scott Bailie, Miriam Leeser Incremental clustering applied to radar deinterleaving: a parameterized FPGA implementation. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Matthias Hinkfoth, Enrico Heinrich, Sebastian Vorköper, Volker Kühn 0001, Ralf Salomon X-ORCA: FPGA-based wireless localization in the sub-millimeter range. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Jason Xin Zheng, Miodrag Potkonjak Securing netlist-level FPGA design through exploiting process variation and degradation. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Robert Kirchgessner, Greg Stitt, Alan D. George, Herman Lam VirtualRC: a virtual FPGA platform for applications and tools portability. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Kermin Elliott Fleming, Michael Adler, Michael Pellauer, Angshuman Parashar, Arvind, Joel S. Emer Leveraging latency-insensitivity to ease multiple FPGA design. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Stefan Hadjis, Andrew Canis, Jason Helge Anderson, Jongsok Choi, Kevin Nam, Stephen Dean Brown, Tomasz S. Czajkowski Impact of FPGA architecture on resource sharing in high-level synthesis. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Sameh W. Asaad, Ralph Bellofatto, Bernard Brezzo, Chuck Haymes, Mohit Kapur, Benjamin D. Parker, Thomas Roewer, Proshanta Saha, Todd Takken, José A. Tierno A cycle-accurate, cycle-reproducible multi-FPGA system for accelerating multi-core processor simulation. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Yupeng Chen, Bertil Schmidt, Douglas L. Maskell Accelerating short read mapping on an FPGA (abstract only). Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Yong Fu, Chi Wang, Liguang Chen, Jinmei Lai A novel full coverage test method for CLBs in FPGA (abstract only). Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Yi-Hua E. Yang, Oguzhan Erdem, Viktor K. Prasanna Scalable architecture for 135 GBPS IPv6 lookup on FPGA (abstract only). Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Jesus Savage, Rodrigo Savage, Marco Morales-Aguirre, Ángel Fernando Kuri Morales Adaptive FPGA-based robotics state machine architecture derived with genetic algorithms (abstract only). Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Nathaniel H. Rollins, Michael J. Wirthlin Reliability of a softcore processor in a commercial SRAM-based FPGA. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Haoran Li, Youn Sung Park, Zhengya Zhang Reconfigurable architecture and automated design flow for rapid FPGA-based LDPC code emulation. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Warren Wai-Kit Shum, Jason Helge Anderson Analyzing and predicting the impact of CAD algorithm noise on FPGA speed performance and power. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Chris C. Wang, Guy G. F. Lemieux Parallel FPGA placement based on individual LUT placement (abstract only). Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Charles Eric LaForest, John Gregory Steffan OCTAVO: an FPGA-centric processor family. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Jianwen Chen, Jason Cong, Ming Yan 0006, Yi Zou FPGA-accelerated 3D reconstruction using compressive sensing. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Samuel Bayliss, George A. Constantinides Optimizing SDRAM bandwidth for custom FPGA loop accelerators. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Chih-Hsun Chou, Fong Pong, Nian-Feng Tzeng Speedy FPGA-based packet classifiers with low on-chip memory requirements. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Anh-Tuan Hoang, Takeshi Fujino Intra-masking dual-rail memory on LUT implementation for tamper-resistant AES on FPGA. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Sundaram Ananthanarayanan, Chirag Ravishankar, Siddharth Garg, Andrew A. Kennings EmPower: FPGA based emulation of dynamic power management algorithms for multi-core systems on chip (abstract only). Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Eric S. Chung, Michael Papamichael, Gabriel Weisz, James C. Hoe, Ken Mai Prototype and evaluation of the CoRAM memory architecture for FPGA-based computing. Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Wei Ting Loke, Yajun Ha Power-aware FPGA technology mapping for programmable-VT architectures (abstract only). Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
26Jason Cong, Yi Zou Resolving implicit barrier synchronizations in FPGA HLS (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Lu Zhang 0018, Ke Zhang 0012, Tian Sheuan Chang, Gauthier Lafruit, Georgi Krasimirov Kuzmanov, Diederik Verkest Real-time high-definition stereo matching on FPGA. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Haohuan Fu, Robert G. Clapp Eliminating the memory bottleneck: an FPGA-based solution for 3d reverse time migration. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26David Grant, Chris C. Wang, Guy G. Lemieux A CAD framework for Malibu: an FPGA with time-multiplexed coarse-grained elements. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Juergen Ributzka, Yuhei Hayashi, Fei Chen, Guang R. Gao DEEP: an iterative fpga-based many-core emulation system for chip verification and architecture research. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Mingjie Lin, Shaoyi Cheng, John Wawrzynek Using many-core architectural templates for FPGA-based computing (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Jonathan W. Greene, Sinan Kaptanoglu, Wenyi Feng, Volker Hecht, Joel Landry, Fei Li 0003, Anton Krouglyanskiy, Mihai Morosan, Val Pevzner A 65nm flash-based FPGA fabric optimized for low cost and power. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Hossein Omidian Savarbaghi, Kia Bazargan FPGA placement by graph isomorphism (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Juan Luis Jerez, George A. Constantinides, Eric C. Kerrigan An FPGA implementation of a sparse quadratic programming solver for constrained predictive control. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Hock Soon Low, Delong Shang, Fei Xia, Alexandre Yakovlev Variation tolerant asynchronous FPGA (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Scott Y. L. Chin, Steven J. E. Wilton Towards scalable FPGA CAD through architecture. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Andrew W. Hill, Andrea Di Blas, Richard Hughey FPGA-based fine-grain parallel computing (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Hoang Le, Thilan Ganegedara, Viktor K. Prasanna Memory-efficient and scalable virtual routers using FPGA. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Yu Cai 0001, Erich F. Haratsch, Mark P. McCartney, Mudit Bhargava, Ken Mai FPGA-based nand flash memory error characterization and solid-state drive prototyping platform (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Andrei Hagiescu, Weng-Fai Wong Co-synthesis of FPGA-based application-specific floating point simd accelerators. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Ji Sun, Ray Bittner, Ken Eguro FPGA side-channel receivers. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Fadi Obeidat, Robert H. Klenke Microblaze: an application-independent fpga-based profiler (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Trung Hieu Bui, Tuan Duy Anh Nguyen, Ngoc Thinh Tran BBFEX: a bloom-bloomier filter extension for long patterns in FPGA-based pattern matching system (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Haile Yu, Qiang Xu 0001, Philip Heng Wai Leong On timing yield improvement for FPGA designs using architectural symmetry (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Jianfeng Zhu 0001, Dong Wu, Yaru Yan, Xiao Yu, Hu He 0001, Liyang Pan A chip-level path-delay-distribution based Dual-VDD method for low power FPGA (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Srinidhi Kestur, Dharav Dantara, Vijaykrishnan Narayanan A streaming FPGA implementation of a steerable filter for real-time applications (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Charles Lo, Paul Chow Building a multi-FPGA virtualized restricted boltzmann machine architecture using embedded MPI. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Christopher W. Fletcher, Ilia A. Lebedev, Narges Bani Asadi, Daniel Burke, John Wawrzynek Bridging the GPGPU-FPGA efficiency gap. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Henry Wong, Vaughn Betz, Jonathan Rose Comparing FPGA vs. custom cmos and the impact on processor microarchitecture. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Andrew Canis, Jongsok Choi, Mark Aldham, Victor Zhang, Ahmed Kammoona, Jason Helge Anderson, Stephen Dean Brown, Tomasz S. Czajkowski LegUp: high-level synthesis for FPGA-based processor/accelerator systems. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Justin S. J. Wong, Peter Y. K. Cheung Improved delay measurement method in FPGA based on transition probability. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Abhay Tavaragiri, Jacob Couch, Peter Athanas Exploration of FPGA interconnect for the design of unconventional antennas. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Xun Chen, Jianwen Zhu Regular fabric for regular FPGA (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26John Wawrzynek Should the academic community launch an open-source FPGA device and tools effort?: evening panel. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Peter Grossmann, Miriam Leeser A prototype FPGA for subthreshold-optimized CMOS (abstract only). Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Steven Trimberger, Jason Moore, Weiguang Lu Authenticated encryption for FPGA bitstreams. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Joydip Das, Steven J. E. Wilton An analytical model relating FPGA architecture parameters to routability. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Eric S. Chung, James C. Hoe, Ken Mai CoRAM: an in-fabric memory architecture for FPGA-based computing. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
26Jeffrey M. Carver, Richard Neil Pittman, Alessandro Forin Automatic bus macro placement for partially reconfigurable FPGA designs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF emips, reconfigurable computing, dynamic partial reconfiguration, floor-planning
26David B. Thomas, Wayne Luk FPGA-optimised high-quality uniform random number generators. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF generation, random, number
26Zied Marrakchi, Hayder Mrabet, Habib Mehrez Configuration tools for a new multilevel hierarchical FPGA. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Akhilesh Kumar, Mohab Anis Dual-Vt FPGA design for leakage power reduction (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Stéphane Simard, Rachid Beguenane, Éric Larouche, Luc Morin A 2005 review of FPGA arithmetic (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Jianchun Li, Christos A. Papachristou, Raj Shekhar Accelerating mutual information-based 3D medical image registration with An FPGA computing platform (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Christopher C. Doss, Robert L. Riley Jr. FPGA-based implementation of single-precision exponential unit. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26A. Manoj Kumar, B. Jayaram 0002, V. Kamakoti 0001 SHAPER: synthesis for hybrid FPGA architectures containing PLA elements using reconvergence analysis. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 21486 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license