The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for VLIW with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1984-1989 (16) 1990-1991 (18) 1992-1993 (31) 1994 (15) 1995-1996 (31) 1997 (30) 1998 (24) 1999 (38) 2000 (54) 2001 (53) 2002 (67) 2003 (58) 2004 (61) 2005 (88) 2006 (71) 2007 (84) 2008 (55) 2009 (30) 2010 (25) 2011 (27) 2012 (31) 2013 (25) 2014 (29) 2015 (21) 2016 (21) 2017 (26) 2018-2019 (26) 2020-2022 (16) 2023-2024 (5)
Publication types (Num. hits)
article(258) book(2) incollection(4) inproceedings(786) phdthesis(26)
Venues (Conferences, Journals, ...)
MICRO(44) DATE(38) ICCD(24) DAC(22) ASAP(21) CASES(19) IEEE Trans. Computers(17) IEEE Trans. Very Large Scale I...(15) IPDPS(15) ASP-DAC(14) ISSS(14) J. Signal Process. Syst.(13) VLSI Design(13) Euro-Par(12) IEEE PACT(12) ISCAS(12) More (+10 of total 312)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 908 occurrences of 401 keywords

Results
Found 1076 publication records. Showing 1076 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Bogong Su, Wei Zhao, Zhizhong Tang, Stanley Habib A VLIW architecture for optimal execution of branch-intensive loops. Search on Bibsonomy MICRO The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
16G. Menez, Michel Auguin, Fernand Boéri, C. Carrière Automatic generation of architectural models for designing dedicated VLIW signal processors. Search on Bibsonomy ICASSP The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
16Carrie J. Brownhill, Alexandru Nicolau A Hierarchical Parallelizing Compiler for VLIW/MIMD Machines. Search on Bibsonomy LCPC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
16Krste Asanovic, James Beck, Brian Kingsbury, Phil Kohn, Nelson Morgan, John Wawrzynek SPERT: a VLIW/SIMD microprocessor for artificial neural network computations. Search on Bibsonomy ASAP The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
16John G. Holm, Prithviraj Banerjee Low Cost Concurrent Error Detection in a VLIW Architecture Using Replicated Instructions. Search on Bibsonomy ICPP (1) The full citation details ... 1992 DBLP  BibTeX  RDF
16Arthur Abnous, Nader Bagherzadeh Pipelining and bypassing in a VLIW processor. Search on Bibsonomy ISCA The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
16Michael Werner Implementierung von Algorithmen zut Kompaktifizierung von Programmen für VLIW-Architekturen Search on Bibsonomy Forschungsberichte, TU Munich The full citation details ... 1991   RDF
16Gerben Essink, Emile H. L. Aarts, R. van Dongen, Piet J. van Gerwen, Jan H. M. Korst, Kees A. Vissers Architecture and Programming of a VLIW Style Programmable Video Signal Processor. Search on Bibsonomy MICRO The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
16Arthur Abnous, Nader Bagherzadeh Special Features of a VLIW Architecture. Search on Bibsonomy IPPS The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
16Arthur Abnous, Roni Potasman, Nader Bagherzadeh, Alexandru Nicolau A Percolation Based VLIW Architecture. Search on Bibsonomy ICPP (1) The full citation details ... 1991 DBLP  BibTeX  RDF
16Hester Bakewell, Donna J. Quammen, Pearl Y. Wang Mapping Concurrent Programs to VLIW Processors. Search on Bibsonomy PPoPP The full citation details ... 1991 DBLP  DOI  BibTeX  RDF OCCAM
16Andrew Wolfe, John Paul Shen A Variable Instruction Stream Extension to the VLIW Architecture. Search on Bibsonomy ASPLOS The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
16Franco Gasperoni Scheduling for Horizontal Systems: The VLIW Paradigm in Persepctive. Search on Bibsonomy 1991   RDF
16Günter Böckle, Siegfried Trosch A simulator for VLIW architectures Search on Bibsonomy Forschungsberichte, TU Munich The full citation details ... 1990   RDF
16W. Schenk A high speed prolog implementation on a VLIW processor. Search on Bibsonomy Microprocessing and Microprogramming The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
16Henry G. Dietz, Thomas Schwederski, Matthew T. O'Keefe, Abderrazek Zaafrani Static synchronization beyond VLIW. Search on Bibsonomy SC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
16Robert P. Colwell, Robert P. Nix, John J. O'Donnell, David B. Papworth, Paul K. Rodman A VLIW Architecure for a Trace Scheduling Compiler. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
16Lothar Nowak SAMP: A General Purpose Processor Based on a Self-Timed VLIW Structure. Search on Bibsonomy ARCS The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
16Lothar Nowak SAMP: a general purpose processor based on a self-timed VLIW structure. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
16Joseph A. Fisher The VLIW Machine: A Multiprocessor for Compiling Scientific Code. Search on Bibsonomy Computer The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
16Joseph A. Fisher, John J. O'Donnell VLIW Machines: Multiprocessors We Can Acutally Program. Search on Bibsonomy COMPCON The full citation details ... 1984 DBLP  BibTeX  RDF
14Ying Zhang 0016, Yue Hu, Bin Li 0008, Lu Peng 0001 Performance and Power Analysis of ATI GPU: A Statistical Approach. Search on Bibsonomy NAS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF model, performance, GPU, power, VLIW, OPENCL
14Qianming Yang, Nan Wu 0003, Mei Wen, Yi He 0008, Huayou Su, Chunyuan Zhang SAT: A Stream Architecture Template for Embedded Applications. Search on Bibsonomy CIT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF clustered-VLIW, template, stream processing
14Praveen Raghavan, Murali Jayapala, Andy Lambrechts, Javed Absar, Francky Catthoor Playing the trade-off game: Architecture exploration using Coffeee. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF design, embedded systems, Energy, VLIW, processors, power estimation, loop transformations, architecture exploration, area, power-performance trade-off, compiler-architecture interaction
14Nachiket Kapre, André DeHon Accelerating SPICE Model-Evaluation using FPGAs. Search on Bibsonomy FCCM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Analog Circuit Simulator, VLIW Scheduling, Floating-Point, Spice, Loop Unrolling, Spatial Computation
14Bingfeng Mei, Bjorn De Sutter, Tom Vander Aa, M. Wouters, Andreas Kanstein, Steven Dupont Implementation of a Coarse-Grained Reconfigurable Media Processor for AVC Decoder. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF H.264/AVC decoder, FPGA, multimedia, embedded system, reconfigurable architecture, VLIW
14Tingting Sha, Milo M. K. Martin, Amir Roth NoSQ: Store-Load Communication without a Store Queue. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF microarchitecture, RISC, pipeline processors, VLIW architectures, CISC
14Leipo Yan, Thambipillai Srikanthan, Niu Gang Area and delay estimation for FPGA implementation of coarse-grained reconfigurable architectures. Search on Bibsonomy LCTES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF CGRA, VLIW, hardware/software partitioning, delay estimation, area estimation
14Sumeet Kumar, Aneesh Aggarwal Self-checking instructions: reducing instruction redundancy for concurrent error detection. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF RISC/CISC, reducing instruction redundancy, redundant multi-threading, self-checking instructions, concurrent error detection, VLIW architectures
14Swarnalatha Radhakrishnan, Hui Guo 0001, Sri Parameswaran, Aleksandar Ignjatovic Application specific forwarding network and instruction encoding for multi-pipe ASIPs. Search on Bibsonomy CODES+ISSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multi-pipe ASIP, VLIW, forwarding, instruction encoding
14Yu-Kuen Lai, Gregory T. Byrd High-throughput sketch update on a low-power stream processor. Search on Bibsonomy ANCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF sketch, SIMD, network processors, VLIW, data stream processing, stream architecture
14Chidamber Kulkarni, C. Ghez, Miguel Miranda, Francky Catthoor, Hugo De Man Cache Conscious Data Layout Organization for Conflict Miss Reduction in Embedded Multimedia Applications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF RISC/CISC, VLIW architectures, VLSI systems
14Esther Salamí, Mateo Valero Dynamic memory interval test vs. interprocedural pointer analysis in multimedia applications. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multimedia, VLIW, Memory disambiguation
14Mihai Sima, Sorin Cotofana, Jos T. J. van Eijndhoven, Stamatis Vassiliadis, Kees A. Vissers IEEE-Compliant IDCT on FPGA-Augmented TriMedia. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF inverse discrete cosine transform, field-programmable gate array, configurable computing, VLIW processor
14Noureddine Chabini, Wayne H. Wolf An approach for integrating basic retiming and software pipelining. Search on Bibsonomy EMSOFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF embedded systems, system-on-chip, timings, instruction-level parallelism, software pipelining, VLIW, retiming, superscalar processor, peak power, code size
14Han-Saem Yun, Jihong Kim 0001, Soo-Mook Moon Time Optimal Software Pipelining of Loops with Control Flows. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF compiler optimization, instruction-level parallelism, software pipelining, VLIW
14Mikhail Smelyanskiy, Scott A. Mahlke, Edward S. Davidson, Hsien-Hsin S. Lee Predicate-Aware Scheduling: A Technique for Reducing Resource Constraints. Search on Bibsonomy CGO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF predicate analysis, software pipelining, instruction scheduling, VLIW processor, resource utilization, predicated execution
14Catherine H. Gebotys, Y. Zhang Security wrappers and power analysis for SoC technologies. Search on Bibsonomy CODES+ISSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF security, performance, design, VLIW, adiabatic
14Toshiyuki Ito, Kentaro Ono, Mayumi Ichikawa, Yuichi Okuyama, Kenichi Kuroda Reconfigurable Instruction-Level Parallel Processor Architecture. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ILP Processor, PCA, dynamical reconfigurability, VLIW, self-reconfigurability
14Alain Darte, Robert Schreiber, B. Ramakrishna Rau, Frédéric Vivien Constructing and exploiting linear schedules with prescribed parallelism. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF multicluster VLIW, systolic array, Linear schedule
14Han-Saem Yun, Jihong Kim 0001, Soo-Mook Moon Optimal software pipelining of loops with control flows. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF instruction-level parallelism, software pipelining, VLIW
14Wu-chun Feng, Michael S. Warren, Eric Weigle Honey, I Shrunk the Beowulf! Search on Bibsonomy ICPP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF RLX, Transmeta, code morphing, NAS benchmarks, price-performance ratio, ToPPeR, performance-space ratio, performance-power ratio, n-body code, treecode, cluster, VLIW, Beowulf, blade server
14Michael S. Warren, Eric Weigle, Wu-chun Feng High-density computing: a 240-processor Beowulf in one cubic meter. Search on Bibsonomy SC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF MIPS-per-watt, RLX, Transmeta, code morphing, performance-per-square-foot, cluster, VLIW, Beowulf, blade server
14Francisco Barat, Murali Jayapala, Pieter Op de Beeck, Geert Deconinck Software Pipelining for Coarse-Grained Reconfigurable Instruction Set Processors. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF coarse grained logic, code generation, software pipelining, vliw, reconfigurable processor, spatial computation
14Wu-chun Feng, Michael S. Warren, Eric Weigle The Bladed Beowulf: A Cost-Effective Alternative to Traditional Beowulf. Search on Bibsonomy CLUSTER The full citation details ... 2002 DBLP  DOI  BibTeX  RDF RLX, Trans-meta, code morphing, price-performance ratio, performance-power ratio, performance-space ratio, ToPPeR, cluster, VLIW, Beowulf, blade server
14G. X. Tyson, M. Smelyanskyi, Edward S. Davidson Evaluating the Use of Register Queues in Software Pipelined Loops. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF modulo variable expansion, rotating register file, register queues, register connection, Software pipelining, VLIW
14Theo Ungerer Mikroprozessoren - Stand der Technik und Forschungstrends. Search on Bibsonomy Inform. Spektrum The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Mikroprozessor, superskalar, Befehlsebenen-, parallelität, mehrfädig, Kontrollfaden-, spekulation, VLIW, EPIC
14Adrian Johnstone, Elizabeth Scott, Tim Womack Reverse Compilation for Digital Signal Processors: A Working Example. Search on Bibsonomy HICSS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF reverse compilation, VLIW architectures, DSP architectures
14Joseph A. Fisher Customized Instruction-Sets for Embedded Processors. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF custom processors, mass customization of toolchains, instruction-level parallelism, VLIW, embedded processors
14Tao Yu, Zhizhong Tang, Chihong Zhang, Jun Luo Control Mechanism for Software Pipelining on Nested Loop. Search on Bibsonomy APDC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF ILSP, software pipelining, VLIW, dataflow, nested loop
14Nelson L. Passos, Edwin Hsing-Mean Sha Achieving Full Parallelism Using Multidimensional Retiming. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multidimensional data-flow graphs, instruction level parallelism, VLIW, Retiming, loop transformation, superscalar, nested loops
14Ramaswamy Govindarajan, Erik R. Altman, Guang R. Gao A Framework for Resource-Constrained Rate-Optimal Software Pipelining. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF superscalar and VLIW architectures, Instruction-level parallelism, integer linear programming, software pipelining, instruction scheduling
14Ramaswamy Govindarajan, Erik R. Altman, Guang R. Gao Co-Scheduling Hardware and Software Pipelines. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Classical Pipeline Theory, Software Pipelining, Pipeline Architecture, VLIW Architectures, Co-Scheduling
14Peter Pfahler, Georg Piepenbrock A Comparison of Modulo Scheduling Techniques for Software Pipelining. Search on Bibsonomy CC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Instruction Level Parallelism, Software Pipelining, VLIW, Superscalar Processors
14Roger A. Bringmann, Scott A. Mahlke, Richard E. Hank, John C. Gyllenhaal, Wen-mei W. Hwu Speculative execution exception recovery using write-back suppression. Search on Bibsonomy MICRO The full citation details ... 1993 DBLP  DOI  BibTeX  RDF exception detection, exception recovery, scheduling, VLIW, speculative execution, superscalar
14Richard E. Hank, Scott A. Mahlke, Roger A. Bringmann, John C. Gyllenhaal, Wen-mei W. Hwu Superblock formation using static program analysis. Search on Bibsonomy MICRO The full citation details ... 1993 DBLP  DOI  BibTeX  RDF optimization, VLIW, superscalar, static program analysis, superblock, code scheduling
14William Y. Chen, Scott A. Mahlke, Wen-mei W. Hwu, Tokuzo Kiyohara, Pohua P. Chang Tolerating data access latency with register preloading. Search on Bibsonomy ICS The full citation details ... 1992 DBLP  DOI  BibTeX  RDF VLIW/superscalar processor, load latency, register preload, register file, data dependence analysis
14Alexandru Nicolau, Joseph A. Fisher Measuring the Parallelism Available for Very Long Instruction Word Architectures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1984 DBLP  DOI  BibTeX  RDF VLIW (very long instruction word) architectures, Memory antialiasing, parallelism, multiprocessors, microcode, trace scheduling
9Anja Niedermeier, Kjetil Svarstad, Frank Bouwens, Jos Hulzink, Jos Huisken The challenges of implementing fine-grained power gating. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF leakage power minimization, analysis, power management, register-transfer-level, power modeling, power gating
9Srimat T. Chakradhar, Murugan Sankaradass, Venkata Jakkula, Srihari Cadambi A dynamically configurable coprocessor for convolutional neural networks. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dynamic reconfiguration, parallel computer architecture, convolutional neural networks
9Jie S. Hu, Feihui Li, Vijay Degalahal, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin Compiler-assisted soft error detection under performance and energy constraints in embedded systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF instruction duplication, reliability, Embedded systems, compilers, energy consumption, soft errors
9Narasinga Rao Miniskar, Elena Hammari, Satyakiran Munaga, Stylianos Mamagkakis, Per Gunnar Kjeldsberg, Francky Catthoor Scenario Based Mapping of Dynamic Applications on MPSoC: A 3D Graphics Case Study. Search on Bibsonomy SAMOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Stephen Friedman, Allan Carroll, Brian Van Essen, Benjamin Ylvisaker, Carl Ebeling, Scott Hauck SPR: an architecture-adaptive CGRA mapping tool. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF modulo graph, spr, static sharing, clustering, scheduling, routing, placement, pathfinder
9Guillermo Payá Vayá, Javier Martín-Langerwerf, Sören Moch, Peter Pirsch An Enhanced DMA Controller in SIMD Processors for Video Applications. Search on Bibsonomy ARCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Lei Gao, Jia Huang, Jianjiang Ceng, Rainer Leupers, Gerd Ascheid, Heinrich Meyr TotalProf: a fast and accurate retargetable source code profiler. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF source code profiling, architecture description language, performance estimation, instruction set simulation
9Yanqin Yang, Meng Wang 0005, Zili Shao, Minyi Guo Dynamic Scratch-Pad Memory Management with Data Pipelining for Embedded Systems. Search on Bibsonomy CSE (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Martin Thuresson, Magnus Själander, Per Stenström A Flexible Code Compression Scheme Using Partitioned Look-Up Tables. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Xiaofeng Wu 0001, Vassilios A. Chouliaras, José L. Núñez-Yáñez, Roger M. Goodall A Novel Delta Sigma Control System Processor and Its VLSI Implementation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Nazish Aslam, Mark Milward, Ahmet T. Erdogan, Tughrul Arslan Code Compression and Decompression for Coarse-Grain Reconfigurable Architectures. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9P. Dang High Performance Architecture of an Application Specific Processor for the H.264 Deblocking Filter. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Sami Khawam, Ioannis Nousias, Mark Milward, Ying Yi, Mark Muir, Tughrul Arslan The Reconfigurable Instruction Cell Array. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Iyad Al Khatib, Francesco Poletti, Davide Bertozzi, Luca Benini, Mohamed Bechara, Hasan Khalifeh, Axel Jantsch, Rustam Nabiev A multiprocessor system-on-chip for real-time biomedical monitoring and analysis: ECG prototype architectural design space exploration. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF hardware space exploration, embedded system design, Multiprocessor system-on-chip, real time analysis, electrocardiogram algorithms
9Michalis D. Galanis, Gregory Dimitroulakos, Costas E. Goutis Performance and Energy Consumption Improvements in Microprocessor Systems Utilizing a Coprocessor Data-Path. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF coprocessor data-path, template units, kernels, performance improvements, design flow, energy reductions, architectural synthesis
9Martino Sykora, Giovanni Agosta, Cristina Silvano Dynamic configuration of application-specific implicit instructions for embedded pipelined processors. Search on Bibsonomy SAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF implicit issue, reconfiguration, pipelined architecture
9Min Li 0001, Bruno Bougard, Weiyu Xu, David Novo, Liesbet Van der Perre, Francky Catthoor Optimizing Near-ML MIMO Detector for SDR Baseband on Parallel Programmable Architectures. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Giacomo Paci, Axel Nackaerts, Francky Catthoor, Luca Benini, Paul Marchal How to Live with Uncertainties: Exploiting the Performance Benefits of Self-Timed Logic In Synchronous Design. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Mark Hampton, Krste Asanovic Compiling for vector-thread architectures. Search on Bibsonomy CGO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF compilers, code generation, vector processors
9Min Li 0001, Bruno Bougard, Eduardo Lopez-Estraviz, André Bourdoux, David Novo, Liesbet Van der Perre, Francky Catthoor Selective Spanning with Fast Enumeration: A Near Maximum-Likelihood MIMO Detector Designed for Parallel Programmable Baseband Architectures. Search on Bibsonomy ICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Yanqin Yang, Zili Shao, Linfeng Pan, Minyi Guo ISOS: Space Overlapping Based on Iteration Access Patterns for Dynamic Scratch-pad Memory Management in Embedded Systems. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Kevin Williams 0001, Albert Noll, Andreas Gal, David Gregg Optimization strategies for a java virtual machine interpreter on the cell broadband engine. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF java, virtual machine, interpreter, language implementation
9Bernd Becker 0001, Marc Herbstritt, Natalia Kalinnik, Matthew Lewis 0004, Juri Lichtner, Tobias Nopper, Ralf Wimmer 0001 Propositional approximations for bounded model checking of partial circuit designs. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Jun-Young Lee, Jae-Jin Lee, MooKyoung Jeong, Nak-Woong Eum, Seongmo Park A 100MHz ASIP (application specific instruction processor) for CAVLC of H.264/AVC decoder. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Yuki Kobayashi, Murali Jayapala, Praveen Raghavan, Francky Catthoor, Masaharu Imai Operation shuffling over cycle boundaries for low energy L0 clustering. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Bita Gorjiara, Daniel Gajski Automatic architecture refinement techniques for customizing processing elements. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF GNR, nanocoded architectures, no-instruction-set computer (NISC), refinement, high-level synthesis, power, ASIP, datapath, netlist
9Gaurav Mittal, David Zaretsky, Xiaoyong Tang, Prithviraj Banerjee An Overview of a Compiler for Mapping Software Binaries to Hardware. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Samuel Williams 0001, John Shalf, Leonid Oliker, Shoaib Kamil 0001, Parry Husbands, Katherine A. Yelick Scientific Computing Kernels on the Cell Processor. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF GEMM, SpMV, three level memory, FFT, sparse matrix, Cell processor, Stencil
9Shorin Kyo, Shin'ichiro Okazaki, Tamio Arai An Integrated Memory Array Processor for Embedded Image Recognition Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Parallel SIMD processor, memory array processor, image processing, image recognition, parallel language
9Oscal T.-C. Chen, Li-Hsun Chen, Nai-Wei Lin, Chih-Chang Chen Application-Specific Data Path for Highly Efficient Computation of Multistandard Video Codecs. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Xiaotong Zhuang, Santosh Pande Allocating architected registers through differential encoding. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF architected register, differential encoding, Register allocation
9Weihua Zhang, Tao Bao, Binyu Zang, Chuanqi Zhu Optimizing Bandwidth Constraint through Register Interconnection for Stream Processors. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Anders Nilsson 0001, Dake Liu Area Efficient Fully Programmable Baseband Processors. Search on Bibsonomy SAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Cyprian Grassmann, Mathias Richter, Mirko Sauermann Mapping the physical layer of radio standards to multiprocessor architectures. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9C. Arbelo, Andreas Kanstein, Sebastián López, José Francisco López, Mladen Berekovic, Roberto Sarmiento, Jean-Yves Mignolet Mapping control-intensive video kernels onto a coarse-grain reconfigurable architecture: the H.264/AVC deblocking filter. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Koen Van Renterghem, Pieter Demuytere, Dieter Verhulst, Jan Vandewege, Xing-Zhi Qiu Development of an ASIP enabling flows in ethernet access using a retargetable compilation flow. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Hongtao Zhong, Steven A. Lieberman, Scott A. Mahlke Extending Multicore Architectures to Exploit Hybrid Parallelism in Single-thread Applications. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Andrew Lines The Vortex: A Superscalar Asynchronous Processor. Search on Bibsonomy ASYNC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Yong Li 0006, Zhiying Wang 0003, Kui Dai A Low-Power Application Specific Instruction Set Processor Using Asynchronous Function Units. Search on Bibsonomy CIT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Min Li 0001, Javed Absar, Bruno Bougard, Liesbet Van der Perre, Francky Catthoor Systematic Optimization of Programmable QRD Implementation for Multiple Application Scenarios. Search on Bibsonomy SiPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Mahendra Kumar Angamuthu Ganesan, Sundeep Singh, Frank May, Jürgen Becker 0001 H.264 Decoder at HD Resolution on a Coarse Grain Dynamically Reconfigurable Architecture. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Alberto Gallini, Lorenzo Pavesi, Claudio Ferretti, Alberto Rosti, Sara Bocchio An Automatic Compilation Framework for Configurable Architectures. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 1076 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license