The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for delay with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1948-1960 (16) 1961-1964 (16) 1965-1966 (16) 1967-1968 (18) 1969-1970 (20) 1971-1973 (22) 1974 (16) 1975-1976 (30) 1977 (18) 1978 (17) 1979 (19) 1980 (15) 1981 (26) 1982 (36) 1983 (40) 1984 (47) 1985 (56) 1986 (76) 1987 (75) 1988 (98) 1989 (105) 1990 (167) 1991 (180) 1992 (209) 1993 (224) 1994 (330) 1995 (441) 1996 (404) 1997 (482) 1998 (504) 1999 (672) 2000 (767) 2001 (1016) 2002 (1191) 2003 (1454) 2004 (1977) 2005 (2360) 2006 (2902) 2007 (3020) 2008 (3172) 2009 (2327) 2010 (1586) 2011 (1582) 2012 (1659) 2013 (1782) 2014 (1748) 2015 (1762) 2016 (1821) 2017 (1817) 2018 (1873) 2019 (2021) 2020 (1910) 2021 (1775) 2022 (1790) 2023 (1865) 2024 (427)
Publication types (Num. hits)
article(23373) book(12) data(5) incollection(72) inproceedings(26312) phdthesis(221) proceedings(4)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 18369 occurrences of 5291 keywords

Results
Found 50014 publication records. Showing 49999 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Hazem N. Nounou, Mohamed N. Nounou Resilient adaptive control of uncertain time-delay systems - a delay-dependent approach. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Sivasubramaniam Krishnamurthy, Somnath Paul, Swarup Bhunia Adaptation to Temperature-Induced Delay Variations in Logic Circuits Using Low-Overhead Online Delay Calibration. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Youngjin Ahn, Moonseong Kim, Young-Cheol Bang, Hyunseung Choo On Algorithm for the Delay- and Delay Variation-Bounded Multicast Trees Based on Estimation. Search on Bibsonomy HPCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Albert Banchs Analysis of the Distribution of the Backoff Delay in 802.11 DCF: A Step Towards End-to-End Delay Guarantees in WLANs. Search on Bibsonomy QofIS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Shankar M. Banik, Sridhar Radhakrishnan, Chandra N. Sekharan Multicast Routing with Delay and Delay Variation Constraints for Multimedia Applications. Search on Bibsonomy HSNMC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Luca Fanucci, Roberto Roncella, Roberto Saletti Non-linearity reduction technique for delay-locked delay-lines. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Andrzej Krasniewski Testing FPGA Delay Faults in the System Environment is Very Different from "Ordinary" Delay Fault Testing. Search on Bibsonomy IOLTW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Jun Li 0006, Yiqiang Q. Zhao Resequencing analysis of stop-and-wait ARQ for parallel multichannel communications. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF SW-ARQ, in-sequence delivery, modeling and performance, multichannel data communications, resequencing buffer occupancy, resequencing delay
17Kejie Lu, Jianfeng Wang, Dapeng Wu 0001, Yuguang Fang Performance of a burst-frame-based CSMA/CA protocol: Analysis and enhancement. Search on Bibsonomy Wirel. Networks The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Unsaturated, Performance, Delay, Analysis, Throughput, MAC, CSMA/CA, High data rate
17Jie Wu 0001, Shuhui Yang SmallWorld Model-Based Polylogarithmic Routing Using Mobile Nodes. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF small-world model, simulation, wireless sensor network (WSN), routing, mobile ad hoc network (MANET), delay tolerant network (DTN)
17Robert Zhong Zhou, Jun-Hong Cui Energy efficient multi-path communication for time-critical applications in underwater sensor networks. Search on Bibsonomy MobiHoc The full citation details ... 2008 DBLP  DOI  BibTeX  RDF delay critical service, energy efficiency, underwater sensor networks
17Bart Feyaerts, Sabine Wittevrongel Performance Analysis of a Priority Queue with Place Reservation and General Transmission Times. Search on Bibsonomy EPEW The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Discrete-time queueing model, place reservation, priority scheduling, delay analysis
17Intaik Park, Donghwi Lee, Erik Chmelar, Edward J. McCluskey Inconsistent Fail due to Limited Tester Timing Accuracy. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF inconsistent fail, tester timing accuracy, tester EPA, delay test, inconsistency
17Muhammad Farukh Munir, Fethi Filali Maximizing network-lifetime in large scale heterogeneous wireless sensor-actuator networks: a near-optimal solution. Search on Bibsonomy PE-WASUN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF delay-energy awareness, wireless sensor-actuator networks, optimization, heterogeneity
17Yong-Hyun Kim, Ji-Hong Kim, Youn-Sik Hong, Ki-Young Lee An Improvement of TCP Downstream Between Heterogeneous Terminals in an Infrastructure Network. Search on Bibsonomy ICESS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF TCP downstream, inter-packet delay, wireless LAN, PDA, congestion window
17Dapeng Wu 0001, Rohit Negi Effective Capacity-Based Quality of Service Measures for Wireless Networks. Search on Bibsonomy Mob. Networks Appl. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF effective capacity, QoS, delay, wireless channel model, large deviations theory
17Valentin Gherman, Hans-Joachim Wunderlich, JĂŒrgen Schlöffel, Michael Garbers Deterministic Logic BIST for Transition Fault Testing. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Deterministic logic BIST, delay test
17Yuh-Ren Tsai, Che-Wei Lo Banyan-based Architecture for Quasi-Circuit Switching. Search on Bibsonomy ICNS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Birkhoff-von Neumann Switches, Quasi-circuit Switching, Multi-stage Switches, Packet Delay Control, Quality of Services
17Sebastian Zander, Ian Leeder, Grenville J. Armitage Achieving fairness in multiplayer network games through automated latency balancing. Search on Bibsonomy Advances in Computer Entertainment Technology The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multiplayer network games, delay, fairness
17Vineet Wason, Kaustav Banerjee A probabilistic framework for power-optimal repeater insertion in global interconnects under parameter variations. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF buffer-interconnect system, statistical delay and power models, sensitivity analysis, parameter variations, statistical optimization
17Kang Hee Lee, Soo-Hyun Kim, Yoon Keun Kwak Distance Estimation Error Compensation of a Direct Control Type, Internet-based Mobile Robot System. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF direct control, Internet-based robot, VRML, EAI, time delay, PPS
17Sudhir Aggarwal, Hemant Banavar, Amit Khandelwal, Sarit Mukherjee, Sampath Rangarajan Accuracy in dead-reckoning based distributed multi-player games. Search on Bibsonomy NETGAMES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF accuracy, clock synchronization, dead-reckoning, network delay, distributed multi-player games
17Jaesung Park, Beomjoon Kim, Yong-Hoon Choi A Performance Evaluation of PPP Multiplexing Within UTRAN. Search on Bibsonomy EUC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF PPP Multiplexing, IP transport, Multiplexing Delay, Efficiency, UTRAN
17James D. Herbsleb, Audris Mockus An Empirical Study of Speed and Communication in Globally Distributed Software Development. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Global development, collaboration, delay, awareness, informal communication, speed
17Tara Small, Zygmunt J. Haas The shared wireless infostation model: a new ad hoc networking paradigm (or where there is a whale, there is a way). Search on Bibsonomy MobiHoc The full citation details ... 2003 DBLP  DOI  BibTeX  RDF animal tag, capacity-delay tradeoff, disease model, network, ad hoc, SWIM, infostation
17Masanori Hashimoto, Yuji Yamada, Hidetoshi Onodera Capturing crosstalk-induced waveform for accurate static timing analysis. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF capacitive coupling noise, delay calculation, slope propagation, static timing analysis, crosstalk noise
17Arun Krishnamachary, Jacob A. Abraham Test generation for resistive opens in CMOS. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF resistive opens, delay testing, defect detection
17Edward Mascarenhas, Felipe Knop, Reuben Pasquini, Vernon Rego Minimum cost adaptive synchronization: experiments with the ParaSol system. Search on Bibsonomy ACM Trans. Model. Comput. Simul. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF ParaSol, adaptive synchronization, optimal delay, thread, stochastic simulation, parallel and distributed simulation, optimistic synchronization
17Jason Cong, David Zhigang Pan, Lei He 0001, Cheng-Kok Koh, Kei-Yong Khoo Interconnect design for deep submicron ICs. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  BibTeX  RDF required-arrival-time Steiner tree higher-order moment signal delay and integrity
17Lieven Vandenberghe, Stephen P. Boyd, Abbas El Gamal Optimal wire and transistor sizing for circuits with non-tree topology. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF optimal circuit sizing, crosstalk, Elmore delay, clock distribution networks
17M. Talla, Ahmed K. Elhakeem, Michel Kadoch QOS based performance of selective repeat transport user in hybrid ATM/TDMA networks. Search on Bibsonomy ICCCN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF QOS based performance, selective repeat transport user, hybrid ATM/TDMA networks, throughput characteristics, delay characteristics, cell-level global congestion control, ATM multiplexer node, packet level control, ATM cell level, Norton equivalent queueing model, transport service characteristic, protocol efficiency, virtual leaky bucket, modified leaky bucket, flow control parameters modulation, quality of service, asynchronous transfer mode, asynchronous transfer mode, video, time division multiple access, data, voice, virtual circuit, queue management, leaky bucket, window size, packet size, cell loss probability
17Yeong-Geon Seo, Moon-Ryul Jung, Hae-Seok Oh Sending, receiving and presenting multimedia data over network for tele-medical diagnosis (MediNet). Search on Bibsonomy RTCSA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF tele-medical diagnosis, MediNet, prototype teleconference system, patient information, 3-D medical images, 2-D medical images, hand position, motion images, still images, multimedia systems, voice, multimedia data, delay time, doctors
17Alan Mishchenko, Robert K. Brayton, Stephen Jang Global delay optimization using structural choices. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF technology mpping, FPGA, interpolation, windowing, boolean satisfiability, logic optimization
17Jalil Seifali Harsini, Farshad Lahouti Adaptive transmission policy design for delay-sensitive and bursty packet traffic over wireless fading channels. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Michael J. Neely Delay analysis for maximal scheduling with flow control in wireless networks with bursty traffic. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Markov chains, flow control, queueing analysis
17Seheon Hwang, Hoang Pham Quasi-Renewal Time-Delay Fault-Removal Consideration in Software Reliability Modeling. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Part A The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Somsak Kittipiyakul, Petros Elia, Tara Javidi High-SNR Analysis of Outage-Limited Communications With Bursty and Delay-Limited Information. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Wonjong Noh Distributed Information Forwarding Using Delay Function in Wireless Mobile Networks. Search on Bibsonomy FMN The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Yung Yi, Junshan Zhang, Mung Chiang Delay and effective throughput of wireless scheduling in heavy traffic regimes: vacation model for complexity. Search on Bibsonomy MobiHoc The full citation details ... 2009 DBLP  DOI  BibTeX  RDF scheduling, wireless networks, complexity, heavy-traffic
17Massimo Satler, Carlo Alberto Avizzano, Antonio Frisoli, Paolo Tripicchio, Massimo Bergamasco Bilateral teleoperation under time-varying delay using wave variables. Search on Bibsonomy IROS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Kyung Jae Kim, Bara Kim, Ji Won Um, Jung Je Son, Bong Dae Choi Delay analysis of extended rtPS for VoIP service in IEEE 802.16e by matrix analytic method. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Shih Yu Chang, Wayne E. Stark, Achilleas Anastasopoulos Energy-Delay Analysis of MAC Protocols in Wireless Networks. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Charbel J. Akl, Magdy A. Bayoumi Reducing Interconnect Delay Uncertainty via Hybrid Polarity Repeater Insertion. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Ying-Yen Chen, Jing-Jia Liou Diagnosis Framework for Locating Failed Segments of Path Delay Faults. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Vishal J. Mehta, Malgorzata Marek-Sadowska, Kun-Han Tsai, Janusz Rajski Improving the Resolution of Single-Delay-Fault Diagnosis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Neri Merhav, Gadiel Seroussi, Marcelo J. Weinberger Universal Delay-Limited Simulation. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Yongqiang Wang 0010, Steven X. Ding, Hao Ye, Guizeng Wang A New Fault Detection Scheme for Networked Control Systems Subject to Uncertain Time-Varying Delay. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Hanyong Shao Delay-Dependent Stability for Recurrent Neural Networks With Time-Varying Delays. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Huei-Wen Ferng, Cheng-Lun Liu, Jeng-Ji Huang, Chih-Cheng Tseng A Scheduling-Based Delay-Tolerant Power Saving Scheme for the IEEE 802.16e Wireless MAN. Search on Bibsonomy VTC Spring The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Charu Nagpal, Rajesh Garg, Sunil P. Khatri A Delay-efficient Radiation-hard Digital Design Approach Using CWSP Elements. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17David C. Keezer, Dany Minier, Patrice Ducharme Variable Delay of Multi-Gigahertz Digital Signals for Deskew and Jitter-Injection Test Applications. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Martin Farach-Colton, Yang Huang A Linear Delay Algorithm for Building Concept Lattices. Search on Bibsonomy CPM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Guofei Zhou, Li Su 0001, Depeng Jin, Lieguang Zeng A delay model for interconnect trees based on ABCD matrix. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Nan Lin, Wei Liu 0001, Richard J. Langley Performance analysis of a two-element linearly constrained minimum variance beamformer with sensor delay-line processing. Search on Bibsonomy ICASSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Ahmadreza Momeni, Amir G. Aghdam A necessary and sufficient condition for stabilization of decentralized time-delay systems with commensurate delays. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Ulrich MĂŒnz, Antonis Papachristodoulou, Frank Allgöwer Delay-dependent rendezvous and flocking of large scale multi-agent systems with communication delays. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Eli Brosh, Salman Baset, Dan Rubenstein, Henning Schulzrinne The delay-friendliness of TCP. Search on Bibsonomy SIGMETRICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF TDP congestion control, performance modeling, VoIP, live video streaming
17Kiran Kotla, A. L. Narasimha Reddy Making a Delay-Based Protocol Adaptive to Heterogeneous Environments. Search on Bibsonomy IWQoS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Duan-Shin Lee, Cheng-Shang Chang, Jay Cheng, Horng-Sheng Yan Queueing Analysis of Loss Systems with Variable Optical Delay Lines. Search on Bibsonomy INFOCOM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Michael J. Neely Delay Analysis for Maximal Scheduling in Wireless Networks with Bursty Traffic. Search on Bibsonomy INFOCOM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Haiyan Chen, Jiandong Wang, Xuefeng Yan A Fuzzy Support Vector Machine with Weighted Margin for Flight Delay Early Warning. Search on Bibsonomy FSKD (3) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Tingna Shi, Sujuan Wang, Hongwei Fang, Zhengwei Chen Fuzzy Immune PI Control of Networked Control System Based on ADW Time-Delay Prediction. Search on Bibsonomy FSKD (3) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Osama Al-Jaber, Lin Guan, Xin Gang Wang 0002, Irfan Awan, Alan Grigg, Xuefen Chi Delay Restraining of Combined Multiple Input Cross Core Router. Search on Bibsonomy AINA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Tao Zhang, Yanqiu Cui A Bilateral Control of Teleoperators Based on Time Delay Identification. Search on Bibsonomy RAM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Justin Yackoski, Chien-Chung Shen Managing delay and jitter in mesh networks through path-aware distributed transmission scheduling. Search on Bibsonomy ACM SIGMOBILE Mob. Comput. Commun. Rev. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Long Bao Le, Ekram Hossain 0001, Michele Zorzi Queueing Analysis for GBN and SR ARQ Protocols under Dynamic Radio Link Adaptation with Non-Zero Feedback Delay. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Michael J. Neely, Eytan H. Modiano, Yuan-Sheng Cheng Logarithmic delay for N × N packet switches under the crossbar constraint. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF stochastic queueing analysis, scheduling, optimal control
17B. P. Harish, Navakanta Bhat, Mahesh B. Patil On a Generalized Framework for Modeling the Effects of Process Variations on Circuit Delay Performance Using Response Surface Methodology. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17James P. Mammen, Devavrat Shah Throughput and Delay in Random Wireless Networks With Restricted Mobility. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Athanasios Leontaris, Pamela C. Cosman Compression Efficiency and Delay Tradeoffs for Hierarchical B-Pictures and Pulsed-Quality Frames. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Jee-Hwan Ryu, Carsten Preusche Stable Bilateral Control of Teleoperators Under Time-varying Communication Delay: Time Domain Passivity Approach. Search on Bibsonomy ICRA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Jinoo Joung, Jongtae Song, Soon Seok Lee Delay Bounds in Tree Networks with DiffServ Architecture. Search on Bibsonomy ITC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Ali Shahdi, Shahin Sirouspour A multi-model decentralized controller for teleoperation with time delay. Search on Bibsonomy IROS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Srikanth Kurra, Neeraj Kumar Singh 0004, Preeti Ranjan Panda The impact of loop unrolling on controller delay in high level synthesis. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Sying-Jyan Wang, Tung-Hua Yeh High-level test synthesis for delay fault testability. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Meng Chen, Gang Feng 0001, Haibo Ma A Delay-Dependent Approach to H-infinity Filtering for Fuzzy Time-Varying Delayed Systems. Search on Bibsonomy FUZZ-IEEE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17J. V. R. Ravindra, M. B. Srinivas A Statistical Model for Estimating the Effect of Process Variations on Delay and Slew Metrics for VLSI Interconnects. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Vineet Agarwal, Jin Sun 0006, Alexander V. Mitev, Janet Meiling Wang Delay Uncertainty Reduction by Interconnect and Gate Splitting. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Behnoosh Hariri, Mohammad Reza Pakravan Analysis of Queuing Delay in RPR Networks. Search on Bibsonomy IPCCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Zuzhi Fan, Huaibei Zhou A Scalable Power-Efficient Data Gathering Protocol with Delay Guaranty for Wireless Sensor Networks. Search on Bibsonomy MSN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Rahul Nagpal, Arvind Madan, Bharadwaj Amrutur, Y. N. Srikant INTACTE: an interconnect area, delay, and energy estimation tool for microarchitectural explorations. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF interconnect, energy modeling, energy-aware scheduling, clustered VLIW processors
17Yu Wu, Jibo Wei, Byung-Seo Kim, Yong Xi, Dongtang Ma Opportunistic Scheduling for Delay Sensitive Flows in Wireless Networks. Search on Bibsonomy ICCCN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Soo-Chang Pei, Huei-Shan Lin, Peng-Hua Wang Design of Allpass Fractional Delay Filter and Fractional Hilbert Transformer Using Closed-Form of Cepstral Coefficients. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Yuan-Cheng Lai, Yu-Chin Szu Achieving Proportional Delay and Loss Differentiation in a Wireless Network with a Multi-state Link. Search on Bibsonomy ICOIN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Makoto Nonaka, Liming Zhu 0001, Muhammad Ali Babar 0001, Mark Staples Project Delay Variability Simulation in Software Product Line Development. Search on Bibsonomy ICSP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF software product line development, project planning, process simulation, product quality
17Yuanqing Xia, Jie Chen 0003, Guoping Liu 0003, David Rees Stability Analysis of Networked Predictive Control Systems with Random Network Delay. Search on Bibsonomy ICNSC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Bram Kruseman, Ananta K. Majhi, Guido Gronthoud On Performance Testing with Path Delay Patterns. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Soumitra Bose, Vishwani D. Agrawal Delay Test Quality Evaluation Using Bounded Gate Delays. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Hafiz M. Asif, Tarek R. Sheltami Power and Delay Analysis of The WEAC Protocol Based MANET Under Video Transport. Search on Bibsonomy AINA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Chang-Wu Yu 0001, Kun-Ming Yu, Shu Ling Lin Reducing Inter-piconet Delay for Large-Scale Bluetooth Scatternets. Search on Bibsonomy PAKDD Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF modeling, Bluetooth, Scatternet, Piconet, Scheduling protocol
17Balaji Raman 0001, Samarjit Chakraborty, Wei Tsang Ooi, Santanu Dutta Reducing Data-Memory Footprint of Multimedia Applications by Delay Redistribution. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Michele Rossi, Leonardo Badia, Michele Zorzi SR ARQ delay statistics on N-state Markov channels with non-instantaneous feedback. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Leonardo Badia, Michele Rossi, Michele Zorzi SR ARQ packet delay statistics on markov channels in the presence of variable arrival rate. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Soheil Ghiasi, Po-Kuan Huang, Roozbeh Jafari Probabilistic delay budget assignment for synthesis of soft real-time applications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Guoqing Chen, Eby G. Friedman Low-power repeaters driving RC and RLC interconnects with delay and bandwidth constraints. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Hesham El Gamal, Giuseppe Caire, Mohamed Oussama Damen The MIMO ARQ Channel: Diversity-Multiplexing-Delay Tradeoff. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Amotz Bar-Noy, Zohar Naor Efficient multicast search under delay and bandwidth constraints. Search on Bibsonomy Wirel. Networks The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Mobile computing Wireless networks, Multicast search, Location management, Conference call
17B. S. Kim, Sun Kook Yoo, Moon-Hyoung Lee Wavelet-Based Low-Delay ECG Compression Algorithm for Continuous ECG Transmission. Search on Bibsonomy IEEE Trans. Inf. Technol. Biomed. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Athanasios Leontaris, Pamela C. Cosman End-to-End Delay for Hierarchical B-Pictures and Pulsed Quality Dual Frame Video Coders. Search on Bibsonomy ICIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Matthew Webb, Mythri Hunukumbure, Mark A. Beach, Andrew R. Nix The Effect of Computation and Feedback Delay on the Capacity of Multiuser MIMO Systems in a Small Outdoor Cell. Search on Bibsonomy VTC Spring The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 49999 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license