The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for interconnects with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1980-1989 (19) 1990-1991 (15) 1992 (16) 1993-1994 (54) 1995 (28) 1996 (38) 1997 (32) 1998 (30) 1999 (53) 2000 (92) 2001 (101) 2002 (169) 2003 (179) 2004 (184) 2005 (242) 2006 (264) 2007 (233) 2008 (212) 2009 (148) 2010 (100) 2011 (88) 2012 (79) 2013 (98) 2014 (94) 2015 (119) 2016 (98) 2017 (105) 2018 (88) 2019 (97) 2020 (78) 2021 (77) 2022 (57) 2023 (60) 2024 (10)
Publication types (Num. hits)
article(909) book(4) incollection(4) inproceedings(2380) phdthesis(37) proceedings(23)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1863 occurrences of 889 keywords

Results
Found 3357 publication records. Showing 3357 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Nian-Feng Tzeng Multistage-Based Switching Fabrics for Scalable Routers. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Line cards, multistage interconnects, queue speedups, recirculation connections, routing tags, scalability, routers, switching fabrics
15Maurizio Martina, Guido Masera A statistical model for estimating the effect of process variations on crosstalk noise. Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF statistical analysis, interconnects modeling
15Maged Ghoneima, Yehea I. Ismail Delayed line bus scheme: a low-power bus scheme for coupled on-chip buses. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF low power, interconnects, buses, coupling capacitance
15Angelos Bilas, Courtney R. Gibson, Reza Azimi, Rosalia Christodoulopoulou, Peter Jamieson Using System Emulation to Model Next-Generation Shared Virtual Memory Clusters. Search on Bibsonomy Clust. Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF high-bandwidth interconnects, distributed shared memory, parallel systems, clusters of workstations, low-latency
15Chandramouli V. Kashyap, Charles J. Alpert, Frank Liu 0001, Anirudh Devgan Closed form expressions for extending step delay and slew metrics to ramp inputs. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Elmore, slew, delay, timing, interconnects, PDF, moments, median, skewness
15Thomas M. Warschko ClusterWorX®: A Framework to Manage Large Clusters Effectively. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF LinuxBIOS, ICE Box™, ClusterWorX®, High-Performance Cluster Computing, High-Speed Interconnects, Cluster Management
15Steve Sistare, Christopher J. Jackson Ultra-high performance communication with MPI and the Sun fireTM link interconnect. Search on Bibsonomy SC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF kernel bypass, remote shared memory, performance evaluation, MPI, interconnects, SAN
15Q. Su, Venkataramanan Balakrishnan, Cheng-Kok Koh Efficient Approximate Balanced Truncation of General Large-Scale RLC Systems via Krylov Methods. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Krylov, large scale systems, model reduction, RLC interconnects, balanced truncation
15Chandramouli V. Kashyap, Charles J. Alpert, Frank Liu 0001, Anirudh Devgan PERI: a technique for extending delay and slew metrics to ramp inputs. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Elmore, slew, delay, interconnects, PDF, moments, median, skewness, standard deviation
15Dietmar Fey, Marko Degenkolb Digit Pipelined Arithmetic for 3-D Massively Parallel Optoelectronic Circuits. Search on Bibsonomy J. Supercomput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF optoelectronic VLSI, signed-digit arithmetic, pipeline processing, optical interconnects, superscalar architectures
15William E. Cohen, David W. Hyde, Rhonda Kay Gaede An Optical Bus-Based Distributed Dynamic Barrier Mechanism. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Parallel processing, optical interconnects, barrier synchronization
15Ravi R. Iyer 0001, Laxmi N. Bhuyan Design and Evaluation of a Switch Cache Architecture for CC-NUMA Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF scalable interconnects, shared memory multiprocessors, wormhole routing, execution-driven simulation, Crossbar switches, cache architectures
15P. Ghosh, Ramon Mangaser, C. Mark, Kenneth Rose Interconnect-Dominated VLSI Design. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Microprocessor Performance Estimation, Interconnects, Floorplanning, VLSI Design, Repeater Insertion
15C. Patrick Yue, S. Simon Wong Design Strategy of On-Chip Inductors for Highly Integrated RF Systems. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF patterned ground shield, spiral inductor, substrate loss, interconnects, quality factor, substrate coupling, skin effect
15Ahmed Louri, Brent Weech, Costas Neocleous A Spanning Multichannel Linked Hypercube: A Gradually Scalable Optical Interconnection Network for Massively Parallel Computing. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF scalability, Interconnection networks, wavelength division multiplexing, optical interconnects, massively parallel processing, product networks
15D. Scott Wills, Huy Cat, José Cruz-Rivera, W. Stephen Lacy, James M. Baker Jr., John Eble, Abelardo López-Lagunas, Michael A. Hopper High-Throughput, Low-Memory Applications on the Pica Architecture. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF image processing architectures, through-wafer interconnects, Fine-grain parallelism, MIMD architectures
15Chunming Qiao, Rami G. Melhem Reducing Communication Latency with Path Multiplexing in Optically Interconnected Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF fiber-optical interconnects, time slot interchangers, switching networks, time division multiplexing, Communication latency
15Andrew B. Kahng, Sudhakar Muddu Analysis of RC interconnections under ramp input. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF diffusion equation analysis, ramp input response, VLSI interconnects
15David R. Engebretsen, Daniel M. Kuchta, Richard C. Booth, John D. Crow, Wayne G. Nation Parallel Fiber-Optic SCI Links. Search on Bibsonomy IEEE Micro The full citation details ... 1996 DBLP  DOI  BibTeX  RDF fiber optics, SCI-Link (Scalable Coherent Interface-Link), Parallel processing, interconnects
15Steve Scott The GigaRing Channel. Search on Bibsonomy IEEE Micro The full citation details ... 1996 DBLP  DOI  BibTeX  RDF SCX channel, Cray Research, Interconnects, supercomputing, Scalable Coherent Interface
15David E. Culler, Lok T. Liu, Richard P. Martin, Chad Yoshikawa Assessing Fast Network Interfaces. Search on Bibsonomy IEEE Micro The full citation details ... 1996 DBLP  DOI  BibTeX  RDF system performance analysis, Interconnects, Myrinet, communication performance
15Richard B. Gillett Memory Channel Network for PCI. Search on Bibsonomy IEEE Micro The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Memory Channel, networks, parallel computers, interconnects
15Stuart Cheshire, Mary Baker A Wireless Network in MosquitoNet. Search on Bibsonomy IEEE Micro The full citation details ... 1996 DBLP  DOI  BibTeX  RDF mobile computing, Wireless networks, networking, interconnects, packet switching
15John R. Feehrer, Lars H. Ramfelt Packet Synchronization for Synchronous Optical Deflection-Routed Interconnection Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF synchronization, interconnection networks, integer programming, packet switching, constrained optimization, optical interconnects, propagation delay, Deflection routing
15Mongkol Raksapatcharawong, Timothy Mark Pinkston An Optical Interconnect Model for k-ary n-cube Wormhole Networks. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF free-space optical interconnects, optical interconnect model, performance evaluation, wormhole switching, k-ary n-cube networks
15Hans T. Heineken, Wojciech Maly Interconnect yield model for manufacturability prediction in synthesis of standard cell based designs. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Standard Cell Designs, Synthesis, Interconnects, Manufacturability, Yield
15Mary Mehrnoosh Eshaghian Parallel Algorithms for Image Processing on OMC. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF OMC, computational limits, free space optics, fine grain image computing, constant time algorithms, parallel algorithms, parallel algorithms, computational complexity, image processing, computerised picture processing, generic model, optical interconnects, optical information processing
14Donglai Dai, Aniruddha S. Vaidya, Roy Saharoy, Seungjoon Park, Dongkook Park, Hariharan L. Thantry, Ralf Plate, Elmar Maas, Akhilesh Kumar, Mani Azimi FPGA-based prototyping of a 2D MESH / TORUS on-chip interconnect (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, routing algorithm, on-chip interconnect, router architecture
14Pranay Koka, Michael O. McCracken, Herb Schwetman, Xuezhe Zheng, Ron Ho, Ashok V. Krishnamoorthy Silicon-photonic network architectures for scalable, power-efficient multi-chip systems. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF interconnection networks, nanophotonics
14Jing Xue, Alok Garg, Berkehan Ciftcioglu, Jianyun Hu, Shang Wang, Ioannis Savidis, Manish Jain, Rebecca Berman, Peng Liu 0016, Michael C. Huang 0001, Hui Wu, Eby G. Friedman, Gary Wicks, Duncan Moore An intra-chip free-space optical interconnect. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF free-space optical interconnect, intra-chip, 3d
14Hu Xu 0002, Vasilis F. Pavlidis, Giovanni De Micheli Repeater Insertion for Two-Terminal Nets in Three-Dimensional Integrated Circuits. Search on Bibsonomy NanoNet The full citation details ... 2009 DBLP  DOI  BibTeX  RDF timing optimization, on-chip interconnect, repeater insertion, 3-D ICs
14Shirish Bahirat, Sudeep Pasricha Exploring hybrid photonic networks-on-chip foremerging chip multiprocessors. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF photonic interconnect, network-on-chip, chip multiprocessor
14Yufeng Guo, Xuejun Yang, Li Luo, Qiong Li, Lu Liu High Performance Support of Lustre over Customized HSNI for HPC. Search on Bibsonomy APPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Hyun-Wook Jin, Junbeom Yoo Exploring the Design Space for Network Protocol Stacks on Special-Purpose Embedded Systems. Search on Bibsonomy SEUS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Network Protocol Stacks, Network Gateway, Formal Verification, Protocol Verification, Embedded Operating Systems, Embedded Networks
14Yu Hu 0002, Yan Lin 0001, Lei He 0001, Tim Tuan Physical synthesis for FPGA interconnect power reduction by dual-Vdd budgeting and retiming. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, Low power, retiming
14Weihang Jiang, Chongfeng Hu, Yuanyuan Zhou 0001, Arkady Kanevsky Are disks the dominant contributor for storage failures - A comprehensive study of storage subsystem failure characteristics. Search on Bibsonomy ACM Trans. Storage The full citation details ... 2008 DBLP  DOI  BibTeX  RDF failure characteristics, storage subsystem, Storage system, disk failures
14Jonathan Appavoo, Volkmar Uhlig, Amos Waterland Project Kittyhawk: building a global-scale computer: Blue Gene/P as a generic computing platform. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Md. Sajjad Rahaman, Masud H. Chowdhury BER performance comparison between CDMA and UWB for RF/wireless interconnect application. Search on Bibsonomy EIT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14DiaaEldin Khalil, Yehea I. Ismail A global interconnect link design for many-core microprocessors. Search on Bibsonomy IFMT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF interconnect, link, bus, repeater insertion
14Michael Hofmann 0002, Gudula Rünger MPI Reduction Operations for Sparse Floating-point Data. Search on Bibsonomy PVM/MPI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF reduction operation, MPI, pipelining, performance optimization, run length encoding
14Luca P. Carloni, Andrew B. Kahng, Swamy Muddu, Alessandro Pinto, Kambiz Samadi, Puneet Sharma Interconnect modeling for improved system-level design optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Sudeep Pasricha, Nikil D. Dutt ORB: An on-chip optical ring bus communication architecture for multi-processor systems-on-chip. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Akiko Mineyama, Hiroyuki Ito, Takahiro Ishii, Kenichi Okada, Kazuya Masu LVDS-type on-chip transmision line interconnect with passive equalizers in 90nm CMOS process. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Fujie Wong, Yajun Ha A low overhead fault tolerant FPGA with new connection box. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Jie Hao, Silong Peng HJ-hPl: Hierarchical Mixed-Size Placement Algorithm with Priori Wirelength Estimation. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Huaxi Gu, Jiang Xu 0001, Zheng Wang ODOR: a microresonator-based high-performance low-cost router for optical networks-on-Chip. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF microresonator, low power, network on chip, optical interconnect, router architecture, loss
14Tuhina Samanta, Prasun Ghosal, Hafizur Rahaman 0001, Parthasarathi Dasgupta Revisiting fidelity: a case of elmore-based Y-routing trees. Search on Bibsonomy SLIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF routing, Steiner trees, fidelity, rank correlation
14Aseem Gupta, Nikil D. Dutt, Fadi J. Kurdahi, Kamal S. Khouri, Magdy S. Abadir Thermal Aware Global Routing of VLSI Chips for Enhanced Reliability. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF reliability, global routing, thermal
14Sundeep Narravula, Hari Subramoni, Ping Lai, Ranjit Noronha, Dhabaleswar K. Panda 0001 Performance of HPC Middleware over InfiniBand WAN. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Pallav Gupta, Niraj K. Jha, Loganathan Lingappan A Test Generation Framework for Quantum Cellular Automata Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Jongsun Kim, Ingrid Verbauwhede, M.-C. Frank Chang Design of an Interconnect Architecture and Signaling Technology for Parallelism in Communication. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Anup Gangwar, M. Balakrishnan, Preeti Ranjan Panda, Anshul Kumar Evaluation of Bus Based Interconnect Mechanisms in Clustered VLIW Architectures. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Performance evaluation, VLIW, ASIP, Clustered VLIW processors
14Katherine Shu-Min Li, Yao-Wen Chang, Chung-Len Lee 0001, Chauchin Su, Jwu E. Chen Multilevel Full-Chip Routing With Testability and Yield Enhancement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Evanthia Papadopoulou Higher Order Voronoi Diagrams of Segments for VLSI Critical Area Extraction. Search on Bibsonomy ISAAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Peter Sanders 0001, Jochen Speck, Jesper Larsson Träff Full Bandwidth Broadcast, Reduction and Scan with Only Two Trees. Search on Bibsonomy PVM/MPI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Basab Datta, Wayne P. Burleson Low power on-chip thermal sensors based on wires. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Junki Seita, Hiroyuki Ito, Kenichi Okada, Takashi Sato, Kazuya Masu A Multi-Drop Transmission-Line Interconnect in Si LSI. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Ouissem Ben Fredj, Éric Renault Performance Evaluation of Distributed Computing over Heterogeneous Networks. Search on Bibsonomy HPCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Mohammad J. Rashti, Ahmad Afsahi 10-Gigabit iWARP Ethernet: Comparative Performance Analysis with InfiniBand and Myrinet-10G. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Zhuo Feng, Peng Li 0001 A methodology for timing model characterization for statistical static timing analysis. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Ahmed Shebaita, Dusan Petranovic, Yehea I. Ismail Including inductance in static timing analysis. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Abinash Roy, Masud H. Chowdhury Global Interconnect Optimization in the Presence of On-chip Inductance. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Yaling Ma, Mingjie Lin Collaborative Routing Architecture for FPGA. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Mosin Mondal, Tamer Ragheb, Xiang Wu, Adnan Aziz, Yehia Massoud Provisioning On-Chip Networks under Buffered RC Interconnect Delay Variations. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Andrew B. Kahng, Rasit Onur Topaloglu A DOE Set for Normalization-Based Extraction of Fill Impact on Capacitances. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Michael N. Skoufis, Haibo Wang 0005, Themistoklis Haniotakis, Spyros Tragoudas Glitch Control with Dynamic Receiver Threshold Adjustment. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Nikil D. Dutt, Kaustav Banerjee, Luca Benini, Kanishka Lahiri, Sudeep Pasricha Tutorial 5: SoC Communication Architectures: Technology, Current Practice, Research, and Trends. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Wu Jigang, Thambipillai Srikanthan Reconfiguration Algorithms for Power Efficient VLSI Subarrays with Four-Port Switches. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Degradable VLSI array, fault tolerance, algorithms, routing, reconfiguration
14Xiangdong Xuan, Adit D. Singh, Abhijit Chatterjee Lifetime Prediction and Design-for-Reliability of IC Interconnections with Electromigration Induced Degradation in the Presence of Manufacturing Defects. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF IC reliability, reliability simulation, design for reliability, interconnect, electromigration, defect modeling
14Dongkook Park, Chrysostomos Nicopoulos, Jongman Kim, Narayanan Vijaykrishnan, Chita R. Das Exploring Fault-Tolerant Network-on-Chip Architectures. Search on Bibsonomy DSN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Jacob R. Minz, Somaskanda Thyagaraja, Sung Kyu Lim Optical routing for 3D system-on-package. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Pallav Gupta, Niraj K. Jha, Loganathan Lingappan Test generation for combinational quantum cellular automata (QCA) circuits. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Michael R. Marty, Mark D. Hill Coherence Ordering for Ring-based Chip Multiprocessors. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Alexandre M. Amory, Kees Goossens, Erik Jan Marinissen, Marcelo Lubaszewski, Fernando Moraes 0001 Wrapper Design for the Reuse of Networks-on-Chip as Test Access Mechanism. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Wei Huang 0003, Jiuxing Liu, Bülent Abali, Dhabaleswar K. Panda 0001 A case for high performance computing with virtual machines. Search on Bibsonomy ICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14David J. Frank, Ruchir Puri, Dorel Toma Design and CAD challenges in 45nm CMOS and beyond. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Andrew B. Kahng, Rasit Onur Topaloglu Generation of design guarantees for interconnect matching. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF design guarantee generation, interconnect matching
14Mandeep Bamal, Youssef Travaly, Wenqi Zhang, Michele Stucchi, Karen Maex Impact of interconnect resistance increase on system performance of low power and high performance designs. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF interconnect sizing, interconnect technology evaluation, power-delay trade-off, wire sizing
14Sundeep Narravula, Hyun-Wook Jin, Karthikeyan Vaidyanathan, Dhabaleswar K. Panda 0001 Designing Efficient Cooperative Caching Schemes for Multi-Tier Data-Centers over RDMA-enabled Networks. Search on Bibsonomy CCGRID The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Guoqing Chen, Eby G. Friedman Effective capacitance of RLC loads for estimating short-circuit power. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14H. J. Kadim, Lacina M. Coulibaly EM-based analytical model for estimation of worst-case crosstalk noise. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Vasilis F. Pavlidis, Eby G. Friedman Via placement for minimum interconnect delay in three-dimensional (3D) circuits. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Calin Ciordas, Kees Goossens, Andrei Radulescu, Twan Basten NoC monitoring: impact on the design flow. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Krishnamoorthy Natarajan, S. J. Nagalakshmi Repeater Sizing and Insertion Length of Interconnect to Minimize the Overall Time Delay using a Truncated Fourier Series Approach. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Lele Jiang, Junfa Mao Global Interconnect Analysis and Optimization for Nanometer Scale VLSI. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Dharin Shah, Kothamasu Siva, G. Girishankar, N. S. Nagaraj Optimizing Interconnect for Performance in Standard Cell Library. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Abinash Roy, Masud H. Chowdhury Impacts of Inductance on the Figures of Merit to Optimize Global Interconnect. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Yuantao Peng, Xun Liu Low-power repeater insertion with both delay and slew rate constraints. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low power, interconnect, repeater insertion, slew rate
14N. Venkateswaran 0002, S. Balaji, V. Sridhar Fault tolerant bus architecture for deep submicron based processors. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF deep submicron technology, fault tolerance, interconnect, electromigration
14Mohamed A. Elgamel, Ashok Kumar 0001, Magdy A. Bayoumi Efficient shield insertion for inductive noise reduction in nanometer technologies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Magdy A. El-Moursy, Eby G. Friedman Exponentially tapered H-tree clock distribution networks. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Michael B. Taylor, Walter Lee, Saman P. Amarasinghe, Anant Agarwal Scalar Operand Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF microprocessors, distributed architectures, Interconnection architectures
14Yuanyuan Zhou 0001, Angelos Bilas, Suresh Jagannathan, Dimitrios Xinidis, Cezary Dubnicki, Kai Li 0001 VI-Attached Database Storage. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Database storage, performance evaluation, user-level communication, server cluster, Virtual Interface, storage server
14Mario R. Casu, Luca Macchiarulo Throughput-driven floorplanning with wire pipelining. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Jun Chen 0008, Lei He 0001 Piecewise linear model for transmission line with capacitive loading and ramp input. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Davide Bertozzi, Luca Benini, Giovanni De Micheli Error control schemes for on-chip communication links: the energy-reliability tradeoff. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Anup Gangwar, M. Balakrishnan, Preeti Ranjan Panda, Anshul Kumar Evaluation of Bus Based Interconnect Mechanisms in Clustered VLIW Architectures. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Luca Benini Energy efficient NoC design. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Jie Chen 0010, William A. Watson III, Robert G. Edwards, Weizhen Mao Message Passing for Linux Clusters with Gigabit Ethernet Mesh Connections. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Gary L. McAlpine, Manoj Wadekar, Tanmay Gupta, Alan Crouch, Donald Newell An Architecture for Congestion Management in Ethernet Clusters. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 3357 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license