The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for reconfigurable with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1971-1980 (17) 1981-1983 (19) 1984-1985 (19) 1986 (15) 1987 (18) 1988 (32) 1989 (25) 1990 (42) 1991 (49) 1992 (53) 1993 (70) 1994 (73) 1995 (112) 1996 (124) 1997 (132) 1998 (218) 1999 (234) 2000 (382) 2001 (285) 2002 (477) 2003 (561) 2004 (661) 2005 (789) 2006 (1067) 2007 (976) 2008 (1061) 2009 (868) 2010 (883) 2011 (832) 2012 (732) 2013 (802) 2014 (786) 2015 (791) 2016 (704) 2017 (752) 2018 (793) 2019 (806) 2020 (922) 2021 (1159) 2022 (1243) 2023 (1212) 2024 (305)
Publication types (Num. hits)
article(7338) book(22) data(1) incollection(68) inproceedings(13188) phdthesis(411) proceedings(73)
Venues (Conferences, Journals, ...)
CoRR(917) FPL(904) ReConFig(900) ARC(699) ACM Trans. Reconfigurable Tech...(505) ReCoSoC(411) IEEE Access(378) FCCM(372) ERSA(343) IPDPS(303) ISCAS(285) Int. J. Reconfigurable Comput.(268) DATE(253) IEEE Trans. Very Large Scale I...(225) FPT(222) FPGA(200) More (+10 of total 2266)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 5390 occurrences of 2060 keywords

Results
Found 21101 publication records. Showing 21101 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
21Ewerson Carvalho, Ney Calazans, Eduardo Wenzel Brião, Fernando Moraes 0001 PaDReH: a framework for the design and implementation of dynamically and partially reconfigurable systems. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF dynamically and partially reconfigurable systems, partial bitstream generation, reconfiguration control, run-time reconfiguration
21Nozar Tabrizi, Nader Bagherzadeh, Amir Hosein Kamalizad, Haitao Du MaRS: a macro-pipelined reconfigurable system. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF 2D-mesh network, multimedia, computer graphics, wireless communication, reconfigurable architectures, MIMD
21Marjan Karkooti, Joseph R. Cavallaro Semi-Parallel Reconfigurable Architectures for Real-Time LDPC Decoding. Search on Bibsonomy ITCC (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA imple-mentation, area-time tradeoffs, parallel architecture, Reconfigurable architecture, channel coding
21Javier Resano, Daniel Mozos Specific scheduling support to minimize the reconfiguration overhead of dynamically reconfigurable hardware. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF dynamic reconfigurable hardware, run-time scheduling
21M. Arif Wani, Hamid R. Arabnia Parallel Edge-Region-Based Segmentation Algorithm Targeted at Reconfigurable MultiRing Network. Search on Bibsonomy J. Supercomput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF parallel algorithm for segmentation, edge-region-based segmentation, fold-edged, semi-step edges, boundary edges, reconfigurable MultiRing network, broadcasting mechanisms for MultiRing network
21Issam W. Damaj, Hassan B. Diab Performance Analysis of Linear Algebraic Functions Using Reconfigurable Computing. Search on Bibsonomy J. Supercomput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF MorphoSys, coarse grained systems, performance evaluation, parallel algorithms, parallel processing, Reconfigurable computing, geometrical transformations
21Paul M. Heysters, Gerard J. M. Smit, Egbert Molenkamp A Flexible and Energy-Efficient Coarse-Grained Reconfigurable Architecture for Mobile Systems. Search on Bibsonomy J. Supercomput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF coarse-grained reconfigurable, heterogeneous system-on-chip (SoC), mobile computing, energy-efficient architectures
21Volker Baumgarten, G. Ehlers, Frank May, Armin Nückel, Martin Vorbach, Markus Weinhardt PACT XPP - A Self-Reconfigurable Data Processing Architecture. Search on Bibsonomy J. Supercomput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF XPP, partial reconfiguration, run-time reconfiguration, adaptive computing, reconfigurable processor
21Christopher Kachris, Nikolaos G. Bourbakis, Apostolos Dollas A Reconfigurable Logic-Based Processor for the SCAN Image and Video Encryption Algorithm. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF cryptography, block cipher, reconfigurable logic, image encryption
21João M. P. Cardoso On Combining Temporal Partitioning and Sharing of Functional Units in Compilation for Reconfigurable Architectures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF scheduling, FPGAs, reconfigurable computing, temporal partitioning
21Sami Khawam, Tughrul Arslan, Fred Westall Domain-Specific Reconfigurable Array for Distributed Arithmetic. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Embedded reconfigurable array, FPGA, low-power, programmable, distributed arithmetic, domain specific
21Arezou Koohi, Nader Bagherzadeh, Chengzi Pan A fast parallel reed-solomon decoder on a reconfigurable architecture. Search on Bibsonomy CODES+ISSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Berlekamp algorithm, Chein search, reconfigurable architecture, Reed-Solomon codes, SIMD processor
21John Morris Reconfigurable Logic: A Saviour for Experimental Computer Architecture Research. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2003 DBLP  DOI  BibTeX  RDF programmable hardware, data flow, stereo correspondence, Reconfigurable logic, asynchronous logic
21Osvaldo Colavin, Davide Rizzo A scalable wide-issue clustered VLIW with a reconfigurable interconnect. Search on Bibsonomy CASES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF clustered VLIW, reconfigurable co-processor (RCP), modulo scheduling, IDCT
21Paul Beckett Exploiting multiple functionality for nano-scale reconfigurable systems. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF chalcogenide, double gate transistors, multi-valued RAM, multiple functionality, resonant tunneling, nanotechnology, reconfigurable systems, carbon nanotube, nanoelectronics, RTD
21Manish Handa, Rajesh Radhakrishnan, Madhubanti Mukherjee, Ranga Vemuri A Fast Macro Based Compilation Methodology for Partially Reconfigurable FPGA Designs. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGA, Reconfigurable Computing, Partial Reconfiguration
21Michele Borgatti, Lorenzo Cali, Guido De Sandre, Benoit Forêt, David Iezzi, Francesco Lertora, Gilberto Muzzi, Marco Pasotti, Marco Poles, Pier Luigi Rolandi A reconfigurable signal processing IC with embedded FPGA and multi-port flash memory. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF field-programmable gate arrays (FPGAs), application-specific integrated circuits (ASICs), reconfigurable architectures, integrated circuit design, multimedia computing, digital signal processors
21Amitava Datta Efficient Graph-Theoretic Algorithms on a Linear Array with a Reconfigurable Pipelined Bus System. Search on Bibsonomy J. Supercomput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF reconfigurable pipelined bus, minimum spanning forest, parallel algorithm, graph algorithms, connected components, optical computing, biconnected components
21Amitava Datta, Subbiah Soundaralakshmi, Robyn A. Owens Fast Sorting Algorithms on a Linear Array with a Reconfigurable Pipelined Bus System. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF reconfigurable bus, pipelined communication, merging, sorting algorithm, optical bus, deterministic sampling
21Guang-Ming Wu, Jai-Ming Lin, Yao-Wen Chang Performance-driven placement for dynamically reconfigurable FPGAs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF field-programmable gate array, placement, dynamically reconfigurable, layout, Computer-aided design of VLSI
21Mario Porrmann, Ulf Witkowski, Heiko Kalte, Ulrich Rückert 0001 Implementation of Artificial Neural Networks on a Reconfigurable Hardware Accelerator. Search on Bibsonomy PDP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Kohonen, FPGA, Artificial Neural Networks, Self-organizing Map, Reconfigurable, RBF, Hardware Accelerator, Associative Memory
21Edson L. Horta, Sergio Takeo Kofuji A Run-Time Reconfigurable ATM Switch. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Partial RTR, ATM Switch, Reconfigurable Logic
21Chin-Hsiung Wu, Shi-Jinn Horng, Yi Pan 0001 Parallel Algorithms for Median Filtering on Arrays with Reconfigurable Optical Buses. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF reconfigurable optical bus system, image processing, selection, nonlinear filter, median filter
21Juanjo Noguera, Rosa M. Badia Dynamic run-time HW/SW scheduling techniques for reconfigurable architectures. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF dynamic run-time scheduling, reconfigurable architectures
21Carles Rodoreda Sala, Natalino G. Busá A Run-Time Word-Level Reconfigurable Coarse-Grain Functional Unit for a VLIW Processor. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF VLIW processors, reconfigurable logic, architectural synthesis
21Juan Carlos López 0001, Fernando Rincón, Francisco Moya, José Manuel Moya Improving Embedded System Design by Means of HW-SW Compilation on Reconfigurable Coprocessors. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF reconfigurable datapaths, hardware-software codesign
21Horng-Ren Tsai Parallel Algorithms for the Medial Axis Transform on Linear Arrays with a Reconfigurable Pipelined Bus System. Search on Bibsonomy ICPADS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF linear array with a reconfigurable pipelined bus system, computer vision, parallel algorithms, image processing, image compression, Medial axis transform
21Rong Lin, Martin Margala Novel design and verification of a 16 x 16-b self-repairable reconfigurable inner product processor. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF fault tolerance, image processing, VLSI, reconfigurable, arithmetic circuits, self-repair, decomposition algorithms
21Omar Bouattane, Jelloul Elmesbahi, Mohammed Khaldoun, A. Rami A Fast Algorithm for k-Nearest Neighbor Problem on a Reconfigurable Mesh Computer. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF reconfigurable mesh computer, parallel processing, data analysis, k-nearest neighbor, SIMD architecture
21Keqin Li, Victor Y. Pan Parallel Matrix Multiplication on a Linear Array with a Reconfigurable Pipelined Bus System. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Bilinear algorithm, optical pipelined bus, distributed memory system, matrix multiplication, speedup, PRAM, reconfigurable system, linear array, cost-optimality
21Chin-Hsiung Wu, Shi-Jinn Horng L2 Vector Median Filters on Arrays with Reconfigurable Optical Buses. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF image (signal) processing, reconfigurable optical bus system, Parallel algorithm, nonlinear filter, scalable algorithm, vector median filter
21Marlene Wan, Hui Zhang 0008, George Varghese, Martin Benes 0002, Arthur Abnous, Vandana Prabhu, Jan M. Rabaey Design Methodology of a Low-Energy Reconfigurable Single-Chip DSP System. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF low-power, design methodology, reconfigurable architecture, digital signal processor
21Chin-Hsiung Wu, Shi-Jinn Horng, Yi-Wen Chen, Chen-Kuo Yu Run-Length Chain Coding and Shape's Moment Computations on Arrays with Reconfigurable Optical Buses. Search on Bibsonomy ICPP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF shape's moments, run-length chain code, reconfigurable optical bus system, parallel algorithm, boundary extraction
21Issam W. Damaj, Hassan B. Diab Performance Analysis of Extended Vector-Scalar Operations Using Reconfigurable Computing. Search on Bibsonomy AICCSA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Parallel Processing, Reconfigurable Computing, Algorithm Mapping, Algebraic Functions
21Keith D. Underwood, Ron Sass, Walter B. Ligon III A Reconfigurable Extension to the Network Interface of Beowulf Clusters. Search on Bibsonomy CLUSTER The full citation details ... 2001 DBLP  DOI  BibTeX  RDF network hardware, cluster, FPGA, reconfigurable, intelligent network, beowulf
21S. Ramanathan, S. K. Nandy 0001, V. Visvanathan Reconfigurable Filter Coprocessor Architecture for DSP Applications. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF reconfigurable coprocessors, filter coprocessor architecture, systolic architectures and digital signal processing, pipelined architectures, low-power architectures
21Ding-Chau Wang, Chih-Ping Chu, Ing-Ray Chen Analyzing Reconfigurable Algorithms for Managing Replicated Data with Strict Consistency Requirements: A Case Study. Search on Bibsonomy COMPSAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF dynamic voting, distributed systems, availability, data replication, stochastic Petri nets, reconfigurable systems, data contention
21Anu G. Bourgeois, Jerry L. Trahan Relating Two-Dimensional Reconfigurable Meshes with Optically Pipelined Buses. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Reconfigurable models, optical buses, complexity, model simulations
21Chin-Hsiung Wu, Shi-Jinn Horng, Jinn-Fu Lin, Horng-Ren Tsai, Tsrong-Lay Lin An Optimal Parallel Algorithm for Computing Moments on Arrays with Reconfigurable Optical Buses. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF suffix sums, reconfigurable optical bus, image processing, moments, moment invariants
21Rong Lin A Reconfigurable Low-Power High-Performance Matrix Multiplier Design. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low-power CMOS circuits, parallel counter-multiplier circuits, reconfigurable architecture, Matrix multiplication
21Wayne Luk, T. K. Lee, J. Rice, Nabeel Shirazi, Peter Y. K. Cheung Reconfigurable Computing for Augmented Reality. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF FPGAs, augmented reality, reconfigurable computing, video analysis, video synthesis
21Kazimierz Wiatr Pipeline Architecture of Specialized Reconfigurable Processors in FPGA Structures for Real-Time Image Pre-Processing. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Image processors, FPGAs, real-time systems, reconfigurable systems
21Sanguthevar Rajasekaran, Sartaj Sahni Sorting, Selection, and Routing on the Array with Reconfigurable Optical Buses. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF comparison problems, sorting, interprocessor communication, optical computing, mesh-connected computers, Reconfigurable networks
21John Woodfill, Brian Von Herzen Real-time stereo vision on the PARTS reconfigurable computer. Search on Bibsonomy FCCM The full citation details ... 1997 DBLP  DOI  BibTeX  RDF powerful scalable reconfigurable computer, PARTS engine, real-time stereo vision, Xilinx 4025 FPGAs, partial torus, concurrent SRAM access, standard PCI card, stereo vision algorithm, stereo disparity computation, RISC-equivalent operations, 1 Mbyte, images, SRAMs, stereo image processing, personal computer, workstation, memory access
21Yi Pan 0001, Keqin Li 0001, Si-Qing Zheng Fast nearest neighbor algorithms on a linear array with a reconfigurable pipelined bus system. Search on Bibsonomy ISPAN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF reconfigurable pipelined bus, nearest neighbor problem, O(log log n)time, n/sup 3/ processors, O(1) time, image processing, binary image, linear array, nearest neighbor algorithms
21Hossam A. ElGindy, Arun K. Somani, Heiko Schröder 0001, Hartmut Schmeck, Andrew Spray RMB - A Reconfigurable Multiple Bus Network. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Reconfigurable Multiple Bus Network, Multiprocessor systems, Permutation Routing, Interconnection Structure
21Sandy Pavel, Selim G. Akl Efficient Algorithms for the Hough Transform on Arrays with Reconfigurable Optical Buses. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF arrays with reconfigurable optical buses, Hough transform
21Tzong-Wann Kao, Shi-Jinn Horng Computing Dominators and Its Applications on Processor Arrays with Reconfigurable Bus Systems. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF bridge-connected components, reconfigurable bus system, dominator, undirected graphs, bridge, dominator tree, biconnected components, articulation point
21Serge Miguet, Yves Robert Reduction Operations on a Distributed Memory Machine with a Reconfigurable Interconnection Network. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF reconfigurable interconnection network, reductionoperations, interconnection graph, transputer-based networks, graph theory, multiprocessor interconnection networks, distributed memory machine
21Jacques Briat, M. Favre, Cláudio F. R. Geyer, Jacques Chassin de Kergommeaux Schheduling of OR-parallel Prolog on a Scalable, Reconfigurable, Distributed-Memory Multiprocessor. Search on Bibsonomy PARLE (2) The full citation details ... 1991 DBLP  DOI  BibTeX  RDF OPERA, OR-parallel Prolog, reconfigurable multiprocessor, distributed-memory, WAM, Supernode, scalable multiprocessor
21Pavel G. Zaykov, Georgi Kuzmanov, Georgi Nedeltchev Gaydadjiev Reconfigurable Multithreading Architectures: A Survey. Search on Bibsonomy SAMOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Luigi Carro, Stephan Wong Introduction to the Future of Reconfigurable Computing and Processor Architectures. Search on Bibsonomy SAMOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Zhiyuan Wang, Jianhua Huang, Ziming Guan The SOBER Family Ciphers Reconfigurable Processing Architecture Design. Search on Bibsonomy IAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Heiner Giefers, Marco Platzner ARMLang: A language and compiler for programming reconfigurable mesh many-cores. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Vu Manh Tuan, Naohiro Katsura, Hiroki Matsutani, Hideharu Amano Evaluation of a multicore reconfigurable architecture with variable core sizes. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Sofia A. Paredes, Gregor von Bochmann, Trevor J. Hall Deploying agile photonic networks over reconfigurable optical networks. Search on Bibsonomy ISCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Abderrahmane Bennis, Miriam Leeser, Gilead Tadmor Implementing a Highly Parameterized Digital PIV System on Reconfigurable Hardware. Search on Bibsonomy ASAP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Yan Xiao, Zhenhua Duan, Pengcheng Nie An Efficient Algorithm for Finding Empty Space for Reconfigurable Systems. Search on Bibsonomy TASE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Joseph Hassoun Resiliency in Elemental Computing. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Nazish Aslam, Mark Milward, Ahmet T. Erdogan, Tughrul Arslan Code Compression and Decompression for Coarse-Grain Reconfigurable Architectures. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Gerard K. Rauwerda, Paul M. Heysters, Gerard J. M. Smit Towards Software Defined Radios Using Coarse-Grained Reconfigurable Hardware. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Katherine Compton, Scott Hauck Automatic Design of Reconfigurable Domain-Specific Flexible Cores. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Zhi Guo, Betul Buyukkurt, John Cortes, Abhishek Mitra, Walid A. Najjar A Compiler Intermediate Representation for Reconfigurable Fabrics. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, VHDL, Configurable computing, Intermediate representation
21Jason C. Chen, Shao-Yi Chien CRISP: Coarse-Grained Reconfigurable Image Stream Processor for Digital Still Cameras and Camcorders. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Anupam Chattopadhyay, Harold Ishebabi, Xiaolin Chen, Zoltan Endre Rakosi, Kingshuk Karuri, David Kammler, Rainer Leupers, Gerd Ascheid, Heinrich Meyr Prefabrication and postfabrication architecture exploration for partially reconfigurable VLIW processors. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF coarse-grained FPGA, VLIW, ASIP
21Kamana Sigdel, Mark Thompson 0001, Andy D. Pimentel, Todor P. Stefanov, Koen Bertels System-Level Design Space Exploration of Dynamic Reconfigurable Architectures. Search on Bibsonomy SAMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21David Johan Christensen, David Brandt, Kasper Støy, Ulrik Pagh Schultz A unified simulator for Self-Reconfigurable Robots. Search on Bibsonomy IROS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Ho Seok Ahn, Young Min Baek, Inkyu Sa, Woo-Sung Kang, Jin Hee Na, Jin Young Choi 0002 Design of reconfigurable heterogeneous modular architecture for service robots. Search on Bibsonomy IROS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Antonio Carlos Schneider Beck, Mateus B. Rutzig, Georgi Gaydadjiev, Luigi Carro Transparent Reconfigurable Acceleration for Heterogeneous Embedded Applications. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Zhiming Chang, XinJun Mao, Zhichang Qi Towards a Formal Model for Reconfigurable Software Architectures by Bigraphs. Search on Bibsonomy WICSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Bigraph, Software Architecture, Reconfiguration
21Carlo Curino, Luca Fossati, Vincenzo Rana, Francesco Redaelli, Marco D. Santambrogio, Donatella Sciuto The Shining embedded system design methodology based on self dynamic reconfigurable architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Mirko Bordignon, Lars Lindegaard Mikkelsen, Ulrik Pagh Schultz Implementing Flexible Parallelism for Modular Self-reconfigurable Robots. Search on Bibsonomy SIMPAR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Ricardo Chaves, Georgi Kuzmanov, Leonel Sousa On-the-fly attestation of reconfigurable hardware. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Vlad Mihai Sima, Elena Moscu Panainte, Koen Bertels Resource allocation algorithm and OpenMP extensions for parallel execution on a heterogeneous reconfigurable platform. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Matthew A. Watkins, Mark J. Cianchetti, David H. Albonesi Shared reconfigurable architectures for CMPS. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Tobias Becker, Peter Jamieson, Wayne Luk, Peter Y. K. Cheung, Tero Rissa Towards benchmarking energy efficiency of reconfigurable architectures. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Lars Bauer, Muhammad Shafique 0001, Jörg Henkel A computation- and communication- infrastructure for modular special instructions in a dynamically reconfigurable processor. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Heiko Hinkelmann, Peter Zipf, Manfred Glesner, Matthias Alles, Timo Vogt, Norbert Wehn, Götz Kappen, Tobias G. Noll Application-specific reconfigurable processors. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Claudio Brunelli, Fabio Garzia, Jari Nurmi, Fabio Campi, Damien Picard Reconfigurable hardware: The holy grail of matching performance with programming productivity. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Dirk Koch, Christian Beckhoff, Jürgen Teich ReCoBus-Builder - A novel tool and technique to build statically and dynamically reconfigurable systems for FPGAS. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Wenyin Fu, Katherine Compton Active kernel monitoring to combat scheduler gaming in reconfigurable computing systems. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Fabio Garzia, Claudio Brunelli, Davide Rossi, Jari Nurmi Implementation of a floating-point matrix-vector multiplication on a reconfigurable architecture. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Lukás Sekanina, Petr Mikusek Analysis of Reconfigurable Logic Blocks for Evolvable Digital Architectures. Search on Bibsonomy EvoWorkshops The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Ting Liu 0007, Camel Tanougast, Serge Weber A framework of architectural synthesis for dynamically reconfigurable FPGAs. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Syed Waqar Nabi, Cade C. Wells, Wim Vanderbauwhede A coarse-grained Dynamically Reconfigurable MAC Processor for power-sensitive multi-standard devices. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21J. Divyasree, H. Rajashekar, Kuruvilla Varghese Dynamically reconfigurable regular expression matching architecture. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Ritesh Rajore, Ganesh Garga, H. S. Jamadagni, S. K. Nandy 0001 Reconfigurable Viterbi decoder on mesh connected multiprocessor architecture. Search on Bibsonomy ASAP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Junji Kitamichi, Koji Ueda, Kenichi Kuroda A Modeling of a Dynamically Reconfigurable Processor Using SystemC. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Frank Bouwens, Mladen Berekovic, Bjorn De Sutter, Georgi Gaydadjiev Architecture Enhancements for the ADRES Coarse-Grained Reconfigurable Array. Search on Bibsonomy HiPEAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Zhen Zhang, Alain Greiner, Sami Taktak A reconfigurable routing algorithm for a fault-tolerant 2D-Mesh Network-on-Chip. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF 2D-Mesh NoC, DSPIN, MP2-SoC, fault-tolerant, reconfiguration, routing algorithm
21Antonio Carlos Schneider Beck, Mateus B. Rutzig, Georgi Gaydadjiev, Luigi Carro Run-time Adaptable Architectures for Heterogeneous Behavior Embedded Systems. Search on Bibsonomy ARC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Michalis D. Galanis, Grigoris Dimitroulakos, Costas E. Goutis Speedups and Energy Reductions From Mapping DSP Applications on an Embedded Reconfigurable System. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Lukás Sekanina Evolutionary functional recovery in virtual reconfigurable circuits. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FPGA, evolutionary algorithms, Dependability, evolvable hardware
21Proshanta Saha, Tarek A. El-Ghazawi A Methodology for Automating Co-Scheduling for Reconfigurable Computing Systems. Search on Bibsonomy MEMOCODE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Ricardo S. Ferreira 0001, Alisson Garcia, Tiago Teixeira, João M. P. Cardoso A Polynomial Placement Algorithm for Data Driven Coarse-Grained Reconfigurable Architectures. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Simone Corbetta, Fabrizio Ferrandi, Massimo Morandi, Marco Novati, Marco D. Santambrogio, Donatella Sciuto Two Novel Approaches to Online Partial Bitstream Relocation in a Dynamically Reconfigurable System. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Yoon-suk Jin, Yang-wook Kim, Jun Park ARMO: Augmented Reality based Reconfigurable MOck-up. Search on Bibsonomy ISMAR The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Wing On Fung, Tughrul Arslan A multi-objective algorithm for the design of high performance reconfigurable architectures with embedded decoding. Search on Bibsonomy IEEE Congress on Evolutionary Computation The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Mihai Sima, Michael McGuire Embedded Reconfigurable Solution for OFDM Detection Over Fast Fading Radio Channels. Search on Bibsonomy SiPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Chang-Seok Choi, Hanho Lee A Partial Self-Reconfigurable Adaptive FIR Filter System. Search on Bibsonomy SiPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 21101 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license